Перейти к содержанию
    

Покупка компьютера для сборки ПЛИС Xilinx

On 12/27/2023 at 12:13 PM, _4afc_ said:

В 2024 наверно смотреть на Ryzen 7 8700G и без G...

Криминальное.. Полезное чтиво: Ryzen 9 7950X vs Core i9-13900K

 

Для синтеза может оказаться более важным быстрый доступ к 32GB 64GB DDR5 памяти.

Каким бы ни был кэш L3, весь нетлист в него все равно не поместится.. ИМХО.. ))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну и до кучи UPS минут на дцать...

особено если использовать ram-cache

Изменено пользователем Alex77

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, Beby said:

128 - минимум

Около года назад собирал новый комп, переезжал с i7-3770 на 12600k.
Тоже хотел 128.
Воткнул четыре неплохих модуля памяти по 32гб ddr5-5600,
но завелись они заметно ниже даже номинальных 4800,
где-то на 4200 емнип.

Оказалось, память в четырех слотах будет работать хуже чем в двух.
Два дополнительных слота делают больше для красоты.
Более того, самые оверклокерские материнки встречаются и с двумя слотами.
Ниже - видео с картинками, можно понять в чём там суть.
В итоге пришлось вернуть два модуля памяти обратно в магазин, и успокоиться на 64Гб на 5600.

Если бы я знал про такой подводный камень, то не целился бы на скоростную dd5, 
а выбрал бы ddr4, и был бы поспокойнее насчет скорости шины.

Да, собирал из обычного магазинного "геймерского" железа.
В материнках серверных и для раб.станций, вероятно,
набивка памятью всех слотов может работать иначе.
Подскажите плз, если это так.
 

1 hour ago, Beby said:

цифры взяты отсюда: Тестирование процессора Intel Core i9-14900K для платформы LGA1700 и соседних статей.

Хммм, спасибо:
Сильно запнулся за фразу "при использовании модулей объемом 12 ГБ и 24 ГБ"
Я раньше как-то не встречял модулей с подобными некруглыми размерами
А теперь вдруг узрел наличие в магазинах комплектов памяти 2x48Гб
Видимо сегодня это максимальный объём на обычном геймерском железе

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

23 hours ago, Alex77 said:

Ну и до кучи UPS минут на дцать...
особено если использовать ram-cache

Это да, без UPS - никуда, и не только на случай полного пропадания питания, но и для исправления всяких перекосов: AVR либо двойного преобразования (если шум не будет мешать).
И, наверное, вы имели в виду Ram-Disk.

@blackfin С Ryzen 9 вообще надо учитывать, что это фактически 2 весьма независимых процессора (CCD) под одной крышкой. Соответственно и кеш - не 64, а 32+32 (и насколько содержимое одного 32 является копией другого 32 - очень интересный вопрос !).
А если брать Ryzen 9 с 3D кешем, то тут совсем всё непросто получается: "быстрый" CCD на 8 ядер, но 32 Мб L3; и "медленный" CCD на 8 ядер, но 96 Мб L3.
У Ryzen 5/7 в этом вопросе всё честнее: сразу видно, что частоты единственного CCD заметно меньше, чем у аналогичного процессора без 3D кеша.
С графиками зависимости частоти/количество потоков разных CCD в AMD Ryzen 9 7950X3D можно ознакомиться тут.

Для нас тут возникает интересный вопрос: насколько этот 3D кеш поможет нашим задачам ?
Помню, в своё время на этом форуме (только тему не помню) люди отмечали что на notebook'е проект компилировался былсрее, чем на Desktop'е. Процессоры Intel были где-то одного поколения, память - тоже... но в Netebook'чном CPU был L4 cache (с видиоядром IRIS шёл), толи 32 Мб, толи 64 Мб. Т.е. мобильный процессор имел явно более скромные возможности по питанию/охлаждению, однако работал быстрее.
Поэтому было бы очень интересно было увидеть на наших задачах разницу результатов работы близких процессоров с 3D кешем и без оного.

@yaghtn Да, в не Epyc/Xeon машинах 4 планки памяти заметно хуже работают, чем 2.
Это ещё на DDR2-800 хорошо проявлялось. Если материнская плата была поуменее (видел на ASUS с Core2Duo и на Gigabyte Athlon64x2 65нм), то BIOS тихонечко докидывал 50мВ на питание ОЗУ и 25мВ на VTT. Т.е. питание становилось 1.85 вместо 1.80. А если потупее, то в большинстве случаев с 4 планками ОЗУ переодически глючила.

Для DDR3 к мат.платам стали делать длинные перечи модулей памяти с указаним в каком режиме они смогут работать.

Для DDR4 уже откровенно шли таблицы деградации скорости (на Gigabyte для ряда плат было хорошо разрисовано).

Для DDR5 для Zen4 у Gigabyte написано следующее:
* 2 DIMM: One pair of memory modules installed into the paired of slots will enable Dual-Channel memory configuration. Please install the memory modules into slot of DDR5_A2, DDR5_B2 for best compatibility and performance.
* Speed dropping policy according to AMD processor specification (EXPO/XMP disabled):
- Drops down to DDR5-3600 when 2 DIMMs of the same channel are installed e.g., DDR5_A1/A2.
- Drops down to DDR5-3600 when 4 DIMMs are installed.
* When running EXPO/XMP at DDR5-5200 or higher, the system's stability may vary by AMD processor and memory module's margin of capabilities.
* When running EXPO/XMP at DDR5-6600 or higher, the memory performance gain may not be proportional due to AMD processor current architecture limitation.

У Intel вроде не всё так печально, но тоже проседания есть:
* 2 DIMM: Supports one pair of modules inserted into the paired slots to enable Dual-Channel memory configuration. Install the modules into DDR5_A2, DDR5_B2 for best compatibility and performance.
* Speed dropping policy according to Intel processor specification (XMP disabled):
- DDR5 4800 MHz speed drops down to 4400 MHz when 2 DIMMs of the same channel are populated e.g., DDR5_A1/A2. Please adjust your setup according to the recommendation above.
- DDR5 4800 MHz speed drops down to 4000 MHz when 4 DIMMs are populated (1Rx8/ x16 modules).
- DDR5 4800 MHz speed drops down to 3600 MHz when 4 DIMMs are populated (2Rx8/ x16 modules).
* When running XMP at DDR5 5000 MHz or higher, the system’s stability depends on the CPU’s capabilities.

Относительно "странных" 12, 24 и 48 Мб объёмов - где-то всколзь натыкался, что супостату удалось сделать MUX3->1 сравнимый по задержкам с MUX2->1, а вот MUX4->1 уже заметно медленнее. Там была структура какой-то системы (практически вся мат.плата), на зачем-то разрисованной структуре DDR5, внутри которой на выходе стояла параллельная батарея оных Mux3.

А касательно топологии DDR - там просто персональный ад для топологов и «signal integrity team» - был опыт проектирования мат.платы лет 7 назад под свеженький мобильный Intel Core. Мы тогда от поддержки IRIS отказались - 3 чипа на одной подложке весьма проблематично охлаждать, тем более, что микросхема cache сильно отличалась по геометрии от CPU-U и PCH-LP, а мягкую компенсирующую (механическую кривизну и неоднородность) термопрокладку использовать было нельзя.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В контексте DDR ECC вопрос: а кому-нибудь удавалось зарегистрировать ошибки при общении с памятью в ПК? Случаи с явно битой памятью не в счёт) Извиняюсь за оффтоп)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня xeon ECC DDR4 4 планки на 2666 работают (это максимальная штатная "частота" для проца и для памяти). Как проверить и где "пишется" про ошибки ХЗ.

пс: если такой гемор с ддр5 - то может надёжней поставить ддр4 - благо интел кажется позволяет такое.

Изменено пользователем Alex77

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

15 hours ago, kskssk said:

В контексте DDR ECC вопрос: а кому-нибудь удавалось зарегистрировать ошибки при общении с памятью в ПК? Случаи с явно битой памятью не в счёт) Извиняюсь за оффтоп)

  Ну почему же offtop: ECC RAM - это как раз немаловажная часть машины, и факт фиксации в нём ошибок корректируемых/некорректируемых тоже крайне интересный момент работы этой машины.

  В начале эксплуатации машины AMD Ryzen 5 5600X (на одном из первых BIOS) при включённом Memory Error Injection (1 раз в 24 часа), Win10 LTSB фиксировала ошибки: Windows Log, System, Source:WHEA.
  Но потом пришлось перейти на Win10 LTSС, да и в BIOS Memory Error Injection отключил, чтобы ошибки фиксировались только настоящие. За полгода эксплуатации в журнале ни одного сообщения от WHEA.

8 hours ago, Alex77 said:

У меня xeon ECC DDR4 4 планки на 2666 работают (это максимальная штатная "частота" для проца и для памяти). Как проверить и где "пишется" про ошибки ХЗ.

пс: если такой гемор с ддр5 - то может надёжней поставить ддр4 - благо интел кажется позволяет такое.

  Так с DDR4 UDIMM такой же геморрой на несерверных машинах, как и с DDR5 UDIMM. На серверах же стоит RDIMM/LRDIMM.
Но 2666 - это для DDR4 небольшая частота. Мои 2 планки ECC DDR4 UDIMM работают на штатной 3200 - больше по JEST пока не предусмотрено (у gamer'ов вовсю обсуждается эксплуатация DDR4 3600-4800 non-ECC UDIMM). Если воткну 4 планки DDR4 ECC UDIMM, то частота может и просесть, а может и не просесть: тут много зависит от того, какими получились конкретные микросхемы RAM и CPU.
  Для DDR5 UDIMM в худшем случае практически гарантируется 3600 - что лучше, чем 3200 и тем более 2666.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

это по информации cpuz

https://www.compuram.biz/memory_module/kingston/9965745-002-a00g.htm

а это из гугла

память простая - без выпендрёжа (наверно KSM26ED8/16ME - не смотрел маркировку)

4 планки

 

мать workstation

https://www.asus.com/ru/motherboards-components/motherboards/workstation/ws-c246m-pro/

Что проц, что материнка официально быстрее не тянет 2666. Посему и память на 2666 (усёжи это был домашний бюджет - так что не выделывался сильно).

Про память я всётаки не догоняю тему: сама память (ячейки) имеет практически неизменную скорость что ддр3 что ддр4 (да и 5), так что латенси конечное (фактическое быстродействие) по сути одинаково, у вся разница в скорости выдачи данных. так что по мне фактически память не в разы быстрее.

Изменено пользователем Alex77

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

12 hours ago, Alex77 said:

Про память я всётаки не догоняю тему: сама память (ячейки) имеет практически неизменную скорость что ддр3 что ддр4 (да и 5), так что латенси конечное (фактическое быстродействие) по сути одинаково, у вся разница в скорости выдачи данных. так что по мне фактически память не в разы быстрее.

Фактическое быстродействие определяется не только latency ячеек, а и внутренней организацией памяти (число банков и груп банков), политикой refresh, числом каналов и скоростью шины.   
Замена DDR4 3200MHz на DDR5 даже при одинаковой скорости шины дает фактическое ускорение памяти ~1.2  раза,  а при увеличении скорости шины до 4800-5600 MHz и до ~1.8-2.0 раз. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

31 minutes ago, RobFPGA said:

Замена DDR4 3200MHz на DDR5 даже при одинаковой скорости шины дает фактическое ускорение памяти ~1.2  раза,  а при увеличении скорости шины до 4800-5600 MHz и до ~1.8-2.0 раз. 

Мне казалось, что для достижения latency ячеек как у DDR3@1600 = DDR4@3200 надо DDR5@6400?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

17 minutes ago, _4afc_ said:

Мне казалось, что для достижения latency ячеек как у DDR3@1600 = DDR4@3200 надо DDR5@6400?

Latency ячеек (внутрнние времена DDR) обычно не зависит от частоты. Это абсолютные времена в ns зависящие от техпроцесса чипа. Просто для удобства они выражаются в тактах актуальной частоты. 
А фактическое быстродействие это не только latency ячеек. Это и время пересылки данных, и накладные потери времени связанные с необходимостью открытия/закрытия банков и рефреша. 
Изменение структуры организации DDR5 по сравнению с DDR4 
уменьшает в первую очередь эти накладные потери. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

14 minutes ago, RobFPGA said:

Latency ячеек (внутрнние времена DDR) обычно не зависит от частоты. Это абсолютные времена в ns зависящие от техпроцесса чипа.

И для хороших чипов любых DDRx неизменно равна 8.5нС

 

DDR3@1600#CL9 = DDR4@3200#CL18 = DDR5@6400#CL36

DDR5@7800#CL36 возможно будет интересна, когда станет 1.1v и command rate 1T

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 minutes ago, _4afc_ said:

DDR5@7800#CL36 возможно будет интересна, когда станет 1.1v и command rate 1T

DDR5 уже интересна  даже при сравнимых с DDR4 частотах.  За счет большего числа открытых банков, малому времени смены банков в разных группах,  независимого рефреша в разных банках, большему бурсту,  и двуканальной организации шины.  Да и on-die ecc тоже плюс с учтём увеличения объема чипов.    

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

22 minutes ago, RobFPGA said:

DDR5 уже интересна  даже при сравнимых с DDR4 частотах.  За счет большего числа открытых банков, малому времени смены банков в разных группах,  независимого рефреша в разных банках, большему бурсту,  и двуканальной организации шины.  Да и on-die ecc тоже плюс с учтём увеличения объема чипов.    

А есть какие-то сведения как различные ядра ОС используют наличие банков в памяти?

Когда я делаю свою железяку c фиксированным функционалом - я ещё могу разложить запись\чтение по разным банкам и получить выигрыш.

А вот многозадачная ОС работающая через MMU?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 hours ago, _4afc_ said:

А вот многозадачная ОС работающая через MMU?

Ну так легко!  Мапинг виртуал -> физ.  адрес делается ОС и при желании можно разносить например  вирт. блоки память для разных ядер на разные физ. банки DDR. Но кажется мне что так особо не заморачиваются.  Выигрыш от множества открытых банков  в многоядерное/многопоточной системе будет получаться автоматически просто при правильном маппинге линейного физ. адреса на bank_grp|bank|row|col адрес DDR оптимально соответствующий усредненным паттернам доступа к памяти в таких системах.  

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...