Перейти к содержанию
    

Verilog: как комбинаторикой узнать номер бита, установленного в 1?

мне как то надо было проверить массив переменной длинны с шинами фиксированной размерности на наличие хотя бы одной 1. на вхдл-е я это так сделал.

А на верилоге можно написать что-то типа

assign bu_data[i] = |bu_data_v[i];

(пиарю красоту верилога (с))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А на верилоге можно написать что-то типа

assign bu_data[i] = |bu_data_v[i];

(пиарю красоту верилога (с))

 

ну дык может и на VHDL-е что то подобное имеется, только я об этом не знаю :). я то не гуру, я только учусь :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот тут посмотрите.

Нужно подключить соответствующую библиотеку. Никогда не пробовал. Обычно хватает сравнения вектора с нулем.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...