Перейти к содержанию
    

Непрерывное присвоение на Verilog

ну прекрасно, а скажите мне, ваши логические элементы не сведутся в итоге к транзисторам?

да, логические элементы сведуться в итоге к транзисторам. В случае монтажного И или монтажного ИЛИ ни каких транзисторов не требуется - выходы устройств, которые требуется объединить, присоединяются к одному проводу, который через сопротивление подтягивают к нулю или единице. Вот я и подумал, что в этом случае токи утечки вероятно будут больше чем в случае использования транзисторов. Я имею ввиду реализацию на ПП или на кристалле, а не FPGA.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну как так выходы?

если просто выходы, то один выдаст 0, а другой 1 и будет конфликт.

Так можно собрать только выход с открытым коллектором, то есть что? ага транзистор:)))

 

просто выходы, которые тоже на самом деле транзисторы, которые жестко задают 0 и 1, можно собрать через диоды.

 

но потребление все равно потом определиться соотношением резюков, емкостей и скорости работы.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Синтезатор, на сколько ему мозгов хватает, оптимизирует полученное им описание, и раскладывает получившуюся схему на те примитивы, которые ему выданы в технологической библиотеке. Если в этой библиотеке есть элементы, позволяющие создать тристабильные шины, или нативное монтажное ИЛИ, то, может быть, синтезатор и реализует то самое монтажное или, описанное языком, в физическое монтажное ИЛИ. Но, обычно, таких возможностей ПЛИС не предоставляют. Поэтому синтезатор раскладывает описание монтажных ИЛИ, и тристабильных шин, в обычные ИЛИ, И-ИЛИ, и мультиплексоры.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...