Перейти к содержанию
    

Начало работы с ПЛИС

Код на Верилоге выглядит для вас ровно настолько, насколько вы его понимаете.

 

Такие дела.

 

Когда перестанут рассказывать эту сказку?

Код на Верилоге для меня выглядит как УГ. Код на Си не выглядит. Почему, я вас внимательно спрашиваю?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Когда перестанут рассказывать эту сказку?

Код на Верилоге для меня выглядит как УГ. Код на Си не выглядит. Почему, я вас внимательно спрашиваю?

 

Патамушта цэ - языг программирования те описания последовательности действий. верилогхдл - языг описания аппаратуры т е кубиков и их соединений. Ясный бублик, для программера описание аппаратуры будет унылой филькиной грамотой...

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Патамушта цэ - языг программирования те описания последовательности действий. верилогхдл - языг описания аппаратуры т е кубиков и их соединений. Ясный бублик, для программера описание аппаратуры будет унылой филькиной грамотой...
Я кагбэ не программер. Но я пишу на VHDL и на Си, а от Верилога у меня вытекают глаза.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Зачем же так страдать!? В психологии это называется "ограничивающие убеждения". Verilog - по многим статьям не самый прекрасный язык, но это всего лишь язык. Не больше и не меньше. И если у вас идеи и замыслы вне базиса конкретного языка, то реализовать вы их сможете на любом языке.

 

На Verilog сделаны как большие, так и очень большие проекты под разные целевые технологии. Verilog является корпоративным стандартом как в больших, так и в очень больших компаниях. И ничего. У всех разработчиков со зрением в целом нормально. Совершенно аналогичная ситуация с VHDL.

 

Отнеситесь к Verilog'у, как некоторой данности ноосферы, которую изменить вы, увы, пока не в силах. И мир снова для вас засияет красками. И ваши возможности на рынке труда возрастут. Это ли не праздник!?

 

... а от Верилога у меня вытекают глаза.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а от Верилога у меня вытекают глаза.

 

Ух ты всегда найдётся пост который порадует=))))))))) Си нормально, а верилог нет=))))))))))))))))))))))))))))))))))))))))))))))))))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

:bb-offtopic:

 

и снова халивар

VHDL vs Verilog

 

:bb-offtopic:

 

PS знать/понимать нужно 2 языка, а писать на том который нравиться (если возможен выбор)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ух ты всегда найдётся пост который порадует=))))))))) Си нормально, а верилог нет=))))))))))))))))))))))))))))))))))))))))))))))))))
Мало смайлов. Надо больше. Смотрите там не умрите от смеха.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я кагбэ не программер. Но я пишу на VHDL и на Си, а от Верилога у меня вытекают глаза.

 

Давно подумываю на тему, что если в каждый модуль добавить

`define { begin

`define } end

то жизнь наладится :biggrin: Ну и с несуразным assign еще что-то надо придумать.

С always сложнее и его странными posedge/negedge, но там можно не понимать - достаточно вызубрить $-)

Гиви, это нельзя понять, это нужно запомнить (с)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

замечу две вещи-

1.писать на Си- это не цветочки в paint делать.

2.я просил литературу по аналогии с Крупником. На русском, доступно и прочее. Нехрен рекомендовать Страусступпа в оригинале.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

замечу две вещи-

1.писать на Си- это не цветочки в paint делать.

2.я просил литературу по аналогии с Крупником. На русском, доступно и прочее. Нехрен рекомендовать Страусступпа в оригинале.

1. Дизайн харда делать - это не на Си алгоритмики писать....

2. Вам извиняюсь на русском или как должно быть?

Паходу недорасли наши афтары до глубины изложения как в рекомендованном учебнике (ненаю я таких, штаб так правильно о цифрах понаписали)...да и перевода тож нету....

И опятьже-ж, английский в электронике нынче, шо латынь в медицине - без него никак.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да была вроде лет 10 назад книга по ПЛИСам, но устарела уже, вероятно. Английский в электронике- да, как латынь. Но по факту, уверен, что есть нормальные переводы и учебники, где все для начала очень доступно.

Вот их и прошу порекомендовать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Когда перестанут рассказывать эту сказку?

Код на Верилоге для меня выглядит как УГ. Код на Си не выглядит. Почему, я вас внимательно спрашиваю?

Это от выбранного стиля зависит. На работе есть чувак, он так пишет что чёрт ногу сломит. Я же проектирую схему на элементах средней степени интеграции и код становится кристально понятным.

 

Посоветуйте литературу по верилогу с алтерой.

По верилогу на русском мало что встречается, всё что есть в интернете - то и есть. Я, в своё время, начинал изучение во с этой доки:

Verilog_rus.rarона мне помогла стартонуть, а дальше инфа на инглише и метод научного тыка.

 

Ну и вот эти можно посмотреть:

VERILOG1992.rar http://bibliozal.ru/images-mikrokontroller...hdl-verilog.png в сети есть, можно найти.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

замечу две вещи-

1.писать на Си- это не цветочки в paint делать.

2.я просил литературу по аналогии с Крупником. На русском, доступно и прочее. Нехрен рекомендовать Страусступпа в оригинале.

... грубо выражаться не надо...

 

Verilog_&_System_Verilog_2010

 

Verilog & System Verilog./ В. И. Хаханов, И. В. Хаханова, Е. И. Литвинова, О. А. Гузь. - Харьков: ХНУРЭ. -2010. - 528 с.

 

Представлены языки описания аппаратуры, регистрового (Verilog) и системного уровней (System Verilog), ориентированные на верификацию, синтез и имплементацию проектируемых цифровых изделий в современные конструктивные компоненты на кристаллах в виде System on Chip (SoC) и System in Package (SiP). Дан аналитический обзор и сравнительный анализ преимуществ и недостатков HDL-языков для создания компактных и энергосберегающих цифровых систем для рынка электронных технологий. В качестве источников использованы наиболее популярные зарубежные и отечественные издания, IEEE стандарты, а также работы ведущих ученых и специалистов в области Hardware Design & Testing. Показаны маршруты решения проблем синтеза, анализа и верификации миниатюрных цифровых систем путем адаптации существующих технологий проектирования и создания новых моделей инфраструктуры языковой поддержки SoC и SiP. Решение задач временного тестирования и верификации цифровых изделий в кристаллах демонстрируется на основе применения средств анализа и синтеза ведущих компаний планеты путем использования IEEE стандартов тестопригодного проектирования и механизмов ассерций, закладываемых в проект на стадии создания системных моделей. Состоятельность структур и маршрутов проектирования подтверждена рассмотрением многочисленных примеров HDL-описания, синтеза и верификации цифровых систем в пакетах, таких как память, логика и функциональные модули.

Книга предназначена для студентов, аспирантов и специалистов в области технологий HDL-проектирования и компьютерной инженерии встроенных систем и сетей, а также для широкою круга читателей, занимающихся разработкой и тестированием Hardware/Software для SoC и SiP

 

Насчет книги - хорошая или плохая - Вам решать... Книга на русском языке....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... грубо выражаться не надо...

 

Verilog_&_System_Verilog_2010

 

Насчет книги - хорошая или плохая - Вам решать... Книга на русском языке....

извиняюсь, погорячился :rolleyes:

извечные холивары- вы тут Си-шники ламеры, толи дело мы- ПЛИСоводы. Так тоже не надо. Я не в codevisinAVR писал до этого. Разберусь и с ПЛИСами.

 

За книги спасибо. Буду изучать. Спросил про них потому, что люди, кот. плотно занимаются ПЛИСами, уже могут сортировать для себя нормальную литературу от мусора.

Вот пример- книга Редькина про АРМы- ее сразу в печку нужно, не читая :biggrin: (цитата от сюда)

 

еще подскажите- нашел у себя макетку с

EPM7128SLC84-15

можно на ней тренироваться? или это уже старье полное, нужно срочно что-то на циклоне брать?

и не пойму, это FPGA или CPLD ? флеш на борту там.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... грубо выражаться не надо...

Verilog_&_System_Verilog_2010

Насчет книги - хорошая или плохая - Вам решать... Книга на русском языке....

Извините не удержался ....Скачал...почитал...

Если грубо не выражаться - я понял что я дурак :(

 

Поясните мне пажалуста шо афтар имел в виду и зачем мне это написал?

смотрите прикреплённые цитаты

 

еще подскажите- нашел у себя макетку с

EPM7128SLC84-15

можно на ней тренироваться? или это уже старье полное, нужно срочно что-то на циклоне брать?

и не пойму, это FPGA или CPLD ? флеш на борту там.

Для тренировок подходит всё, даже это.

Только проверьте что последняя версия квартуса поддерживает EPM7128SLC84-15

post-70424-1411719893_thumb.jpg

post-70424-1411719951_thumb.jpg

post-70424-1411720608_thumb.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...