Перейти к содержанию
    

Чел должен сам пройти путь "босыми ножкам" и понять разницу в отладке на VHDL и SV. Вот почему я в первом топике говорил о затратах времени на верификацию!..

 

Попробуйте у разработчика отнять осциллограф.

Это целое поколение должно смениться.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Извините не соглашусь. Достоверно знаю, что первую работоспособную корку

IMHO, как раз одно из исключений из правила, его только подтверждающего - религиозный случай. Консервативные спецы того времени, когда верилога еще в помине не было.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте у разработчика отнять осциллограф.

Это целое поколение должно смениться.

Или того, кто всю жизнь пользовался аналоговыми осциллографами, заставить пользоваться цифровым.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Или того, кто всю жизнь пользовался аналоговыми осциллографами, заставить пользоваться цифровым.

Ну это легко. По себе знаю :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Без интеллектуальной составляющей это чистый верилог.

А я именно это и толкую. Большинство правильно написанных корок конвертируются (в конструктивном смысле) VHDL <-> Verilog практически один в один. И результат синтеза одинаковый. Так какой смысл утверждать что один язык лучше/удобнее другого? В VHDL (как и в С++) много языковых конструкций, которые на практике использовать вовсе не обязательно. Если они не нравятся - так и не надо их использовать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

IMHO, как раз одно из исключений из правила, его только подтверждающего - религиозный случай. Консервативные спецы того времени, когда верилога еще в помине не было.

Я прошу прощения, но первая корка на T2 была написана в 2009 году. О каком "том времени, когда не было верилога" Вы говорите.

Сам стандарт впервые выпущен в 2007 году

Пробные запуски в Европе были в 2010 году

Изменено пользователем agregat

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

О каком "том времени, когда не было верилога" Вы говорите.

О спецах из того времени. Они очень консервативны.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну это легко. По себе знаю :)

По себе я тоже знаю. :rolleyes: Но не могу сказать того же про старших коллег.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Именно так. А что?

Не знаю :( Не случалось ещё. Нарисую что-нибудь, арифметику-то я знаю :)

Просто любопытно было, как решаете проблему 32 бит, а так арифметика в целых имеет свои плюсы, например, контроль диапазона значений.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

свои плюсы, например, контроль диапазона значений.

 

Это плюсы для программирования (на ADA), когда программа, если что, по assertion слетит, если ей такое данное скормят, что оно вызовет переполнение... А в синтезе то что толку от этого контроля, ну подам я на вход кривое данное, если ширина шины позволяет, и молча все равно получу на выходе кривое.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Почикал, но честно :)

Извините не соглашусь. Достоверно знаю, что первую работоспособную корку на цифровое телевидение T2 разработали спецы BBC как раз на VHDL.

 

Ага а буран садился под руководством лампового компьютера... и что? А первого мамонта убили каменным топором....

 

решил углубиться в вопросы std_logic_vector, освежить в памяти что меня в нем волновало 6 лет назад....

 

Так оказалось что есть как минимум 3 библиотеки, каждый свою считает стандартной, в которой этот std_logic_vector имеет свою сущность. В одних можно складывать, в других нельзя, в одних надо его переводит к типу signed-unsigned, в других он сам по умолчанию unsigned...

а запись константы в вектор?

 

я что-то не так понимаю или это какой-то нестандартный стандарт?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

... и что?...

 

Логика тут простая, если ведущие спецы в сфере телекоммуникаций используют VHDL, значит это хороший инструмент для работы.

 

Мне интересно, кому в голову тут пришла мысль, что Verilog это новый язык и тем более кто это выдумал что он инновационный?

Кто сказал что Verilog это новый язык, а VHDL появился задолго до него? Идем к тете Вике.

 

Verilog появился в 1983 году. Разработан двумя энтузиастами компании AIDS(ничего не напоминает:)) почти в гараже. с 1995 года стал стандартом. http://ru.wikipedia.org/wiki/Verilog

Verilog вообще изначально создавался как "язык описания аппаратуры, используемый для описания и моделирования электронных систем."

И у этого языка есть подмножество, отвечающее за синтез.

 

VHDL появился в 1983 году. Разработан по заказу министерства обороны США. С 1987 года стал стандартом. http://ru.wikipedia.org/wiki/VHDL

Разница между ними только в том, что VHDL являлся стандартом задолго до Verilog и был создан намного более серьезными людьми для военных целей. А теперь его используют ведущие спецы в телекоммуникациях.

VHDL серьезный язык, создан военными с "целью формального описания логических схем для всех этапов разработки электронных систем, начиная модулями микросхем и заканчивая крупными вычислительными системами"

 

Ну, просекли фишку? VHLD специально создавался чтобы разрабатывать железки, Verilog только для моделирования и у него есть манюсенькое подмножество, которое отвечает за синтез.

То есть имеем автопарк мащин разного уровня VHDL с одной стороны и одну легковушку Verilog с другой. Как их вообще можно сравнивать. Это разные весовые категории. А для моделирования сделали более навороченную версию System Verilog.

 

Рыть фигурной ложечкой ямы может кому то кажется стильным, по мне идиотизм.

Правильный подход, по моему, использовать инструменты по назначению. Синтез писать на VDHL, моделирование на System Verilog.

Изменено пользователем agregat

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Логика тут простая, если ведущие спецы в сфере телекоммуникаций используют VHDL...

Ну тогда все понятно... А у вас дома телевизор цветной и со звуком? Ведь звук, как и цвет убьет кино, утверждали велики спецы своего времени.

Фотоаппарат у вас наверное пленочный, что бы чувствовать тепло души зерна, радиоприемник аналоговый, ламповый....

 

погодите!!! а в чем вы на форуме то пишите? Разве старая добрая почта не лучше этих дурных цифровых технологий.

 

лично я буду писать не на том на чем кто-то пишет, а на том что мне сейчас удобно для решения моей задачи, и если через 2 года появится еще что-то, с удовольствием попробую, и если понравится перейду, а вы можете продолжать рассказывать как 10 лет назад, специалисты военной области на языке принятом стандартом для данной области что-то делали...

 

вот вам тема

http://electronix.ru/forum/index.php?showtopic=117212

прокомментируйте пожалуйста, серьезный язык блин....

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Логика тут простая, если ведущие спецы в сфере телекоммуникаций используют VHDL, значит это хороший инструмент для работы.

а там логика еще проще. Так как в Texas Instruments применяют в основном Verilog для разработки своих микросхем, от мелкой шушары до многоядерных DSP, то этот язык эффективнее, чем VHDL.

 

Вообще, если собрать статистику по Verilog:VHDL, на сегодня она будет примерно 1:1 по кол-ву адептов, и меряться крутизной тех, кто что-то когда-то написал на чем-то, бред.

 

И уж кто бы говорил про объемы несинтезируемого множества конструкций - у VHDL оно в разы больше, чем у верилога.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...Вообще, если собрать статистику по Verilog:VHDL, на сегодня она будет примерно 1:1 по кол-ву адептов, и меряться крутизной тех, кто что-то когда-то написал на чем-то, бред...

Вот Вы и ответили на вопрос ТС. Учить можно любой язык, разницы нет никакой. Самые светлые головы по всему миру разделились поровну на VHDL и Verilog. И в таком случае правильно начинать с того, что учить оба.

 

Еще раз прошу прощения, но это "когда то" касается цифрового телевидения второго поколения, которое на территории России даже не планируют внедрять в ближайшие 10 лет, настолько оно новое и серьезное. Называть это тем "что кто то когда то написал" как минимум некорректно... В России для сведения, будут внедрять "телевидение высокой четкости" стандарт первого поколения, который был разработан задолго до того "замшелого" второго поколения...

 

PS: хотя для себя я давно решил, в лагере VHDL :)

Изменено пользователем agregat

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...