Перейти к содержанию
    

nice_vladi

Свой
  • Постов

    383
  • Зарегистрирован

  • Посещение

Весь контент nice_vladi


  1. Спасибо за участие! Все-такие остановился на конвертировании в бинарный вид матлабом. Благо, функция уже написана - остается просто скармливать ей нужные числа. Конечно, сам mif-файл получается не очень наглядным, но опять же матлабом вытащил десятичные числа в комментарии на каждое двоичное число и получилось вполне терпимо. Да и чисто интуитивно хочется как можно меньше кода HDL писать. Проще - лучше. А всякие гадости, вроде записи в память отрицательных чисел можно и в матлабе лепить... В процессе изысканий была установлена Questa, опять мучал гугл, но все равно нативной поддержки отрицательных числе не нашел - только костыли. Так же успел немного просветиться в области Xilinx'a. В общем, дурная голова рукам покоя не дает
  2. Спасибо. Кодер - да, сделан. Но не мной. Матлаб отказывается синтезировать HDL код для демодулятора с "мягкими" решениями. Поддерживаются только "жесткие" решения. Пробовал в 2010 и в 2016 версиях. В-принципе, для простых видов модуляции (qam2-4-16), можно ручками прописать, какие именно из рассчитанных Евклидовых расстояний относятся к "1", а какие - к "0". Но вот все, что выше - вручную совсем не хочется писать. Да и подход это не верный) Хочется делать правильно. Возможно, так мой вопрос будет выглядеть яснее.
  3. Всем привет! Занимаюсь созданием демапера для формата DVB-T2. Все делается на ПЛИС. язык - Verilog. Задача следующая: на входе есть квадратуры точки, на выходе - метрики LLR для LDPC декодера. В целом, принцип расчета метрик мне понятен. Но по ходу возник вопрос: Для расчета метрик необходимо определить Евклидово расстояние от принятой точки до каждой из идеальных точек созвездия. Затем, определить минимальные расстояния до идеальных точек созвездия, в которых принятая точка = "1" и = "0". Вопрос: как описать алгоритм нахождения ВСЕХ точек, где принятая = "1" и ВСЕХ точек, где принятая = "0"? Перелопатил значительное количество различных источников (книжки, статьи) но везде эта информация считается априорной, возможно не доглядел)
  4. Спасибо за идею, скорее всего, так и поступлю. В продолжение темы - на досуге поковырял библиотеки sim_lib/220model.v Quartus'ов. Поддержки отрицательных чисел в mif-файлах нет вплоть до Quartus'a 16.0. Версии старше не смотрел - пока нет времени. Если кто-то их пользует(16.1 - 17.0) - можно посмотреть их новые библиотеки, мб там что-то новое придумали и добавили поддержку. Буду благодарен за информацию :smile3046: А еще было бы круто узнать, можно ли так делать у Xilinx. Просто для общего развития.
  5. Ого, спасибо большое! Теперь все гораздо понятнее стало. Еще и появился вариант, что можно посмотреть, когда ломается то, что не должно. Кстати, скажите, пожалуйста, вашу версию Modelsim или Questa, для статистики, так сказать.
  6. Спасибо огромное, давно пытался нащупать эту настройку! Да, понимаю, что это великий и могучий редактор, но вот по-настоящему допилить под себя не хватает терпения, а без настройки просто кровь из глаз течь начинает при пользовании Slicedit'ом. Предпринимаю очередную попытку)) Все остальное время - Notepad++.
  7. Хорошо) Приведен в качестве примера файл mif. Memory Initialization File. Если не ошибаюсь, они используются только в модулях, связанных с памятью. Причем, чаще всего, именно для ROM памяти. Могу ошибаться. Конкретно этот файл используется для инициализации ROM памяти ip-ядра Quartus'a 13.1, 64-битная версия. Я посчитал, что такие подробности не понадобятся, прошу прощения. :laughing: Как я уже говорил, Моделсим был установлен совместно с Квартусом, из одного источника, т.е. предполагается, что они связаны друг с другом, общие библиотеки и т.д. В скрипте для запуска симуляции Моделсим подключены библиотеки альтеры: vsim +initreg+0 +initmem+0 -novopt -L altera_mf_ver tb Но, как было сказано, возможно, я не прав и если вы укажете на ошибку в моих рассуждениях я буду благодарен. Ваши ультимативные вопросы на правильный путь меня, к сожалению не подтолкнули И как компилировать библиотеки для Модельсима я представляю достаточно смутно
  8. Собственно, вопрос в названии темы. Имеется ли, если да - то как включить? Что бы было понятнее, под подсветкой совпадающих слов я подразумеваю: кликнул дважды на слово - оно выделилось - все такие же слова в документе подсветились. На мой взгляд очень удобно. Допустим, в notepad++ очень прозрачно включается в настройках. К сожалению в настройках Slickedit ничего подобного не нашел.
  9. ящитаю, что это ModelSim, т.к. Quartus вполне спокойно поедает mif-файлы c десятичными отрицательными числами. Однако ModelSim упорно выдает ошибку (пример в первом посте). Имеется ли различие в ip-ядрах ModelSim и Quartus? Устанавливались они вместе, так что подразумевается, что используются одинаковые ip-ядра, библиотеки и т.д. Если не прав - подскажите, пожалуйста. Спасибо, об этом как-то не подумал даже. Не догадался Так гораздо проще. Но все равно вопрос открыт - почему Quartus умеет в отрицательные числа, а Modelsim - нет? Ведь это не что-то из-ряда вон выходящее и сложное.
  10. Всем привет. Столкнулся с тем, что Modelsim отказывается читать отрицательные числа в .mif файлах. Квартус нормально читает. Заполнение файла верное, версия Modelsim 10.1d. Пример mif файла: -- Matlab generated Memory Initialization File (.mif) -- Created on: 03-Jun-2017 WIDTH = 18; DEPTH = 512; ADDRESS_RADIX = UNS; DATA_RADIX = DEC; CONTENT BEGIN 0 : 0; 1 : 0; 2 : 0; 3 : 0; 4 : 0; 5 : 0; 6 : 0; 7 : 0; 8 : 0; 9 : 0; 10 : 0; 11 : 0; 12 : 0; 13 : 0; 14 : 0; 15 : 0; 16 : 0; 17 : 13749; 18 : 0; 19 : 8849; 20 : 0; 21 : 3949; 22 : 0; 23 : -950; 24 : 0; 25 : 33349; ...... END; На этот файл Modelsim выдает такую ошибку: # ERROR: D:/matlab/new.mif, line 34, Invalid Altera-mif record. 34 строка - первое встретившееся в списке отрицательное число. Сейчас извращаюсь с переводом в бинарный вид в Matlab'e и потом пишу в mif. Это Modelsim кушает на ура. Где я допускаю ошибку? Возможно с какой-то определенной версии Modelsim'a появилась поддержка отрицательных чисел в mif?
×
×
  • Создать...