Перейти к содержанию
    

rfm389

Участник
  • Постов

    54
  • Зарегистрирован

  • Посещение

Весь контент rfm389


  1. Продаю в Москве ПЛИС / FPGA: Actel APA075-PQ208 ( 48 штук ). Date Code 1212 Новые, непаяные. Упаковка не вакуумная. По запросу вышлю фото. У дистрибуторов новые стоят не менее 70 USD. Желательно покупка всех 48 штук, но можно и поштучно. 2000 рублей/штука. Торг. 8-926-I26-85-64 Сергей.
  2. А какой смысл производителю за это давать скидку?
  3. Поясните, что это значит - бонусы за обзор и в каком смысле опенсорсный проект?
  4. Не понял Вас. Витая пара пара из мягкого тонкого многожильного провода ( кабель HDMI ) и только с одной стороны, поэтому такие клипсы неудобно применять. Наверное действительно это лучший вариант!
  5. Для применения термоусадки слишком плотный монтаж если трубку одевать на каждый провод, а там их 12. Какие есть ещё варианты?
  6. Да, применяется небольшая распред. коробка, но чтобы "веер" проводов не сомкнулся при укладке его в эту распред. коробку я и хотел изолировать места пайки. П.С. Пайка на фото не аккуратная, делалась не проф. монтажником, а разработчиком как пробный образец для монтажника.
  7. Это не на плате, а навесной монтаж на кабеле, а провода в пластиковой легкоплавкой оплётке. Ладно, воспользуюсь нейтральным хотя выше Tiro писал, что "Обычный "с уксусным запахом" быстро становится нейтральным. Теоретически ацетаты слабоактивны, то есть в нормальльных климатических условиях вреда не будет."
  8. ОК, попробую тогда кислотным герметиком, т.е тем что есть под рукой.
  9. В очень малом объёме "веером" 12 спаек тонких проводов. Чтобы "веер" не сомкнулся при сборке его в корпус и не закоротился между собой хотелось бы из него сделать как бы силиконовый "шарик".
  10. Здравствуйте! Посоветуйте пожалуйста - можно ли изолировать пайку проводов ПОСом 60 обычным бесцветным силиконовым герметиком ( с уксусным запахом ) ? По проводам передаются низковольтные сигналы до +3 V. Не разрушится /окислится ли со временем пайка или изоляция проводов после покрытия таким герметиком?
  11. Здравствуйте! Для корпуса разъема D-Sub 25pin ( http://www.brownbear.ru/goods/61.html ) ищу в продаже заглушки, но нигде не могу найти. Это что-то типа пластинки, которая вставляется вместо разъёма D-Sub 25pin. Поиском по "заглушки для корпуса разъема D-Sub 25pin" находятся только защитные колпачки для разъёмов. Может кто знает где нужные мне заглушки ( в виде пластинок ) продаются в Москве?
  12. Омеднённая сталь паялась бы нормально, не так ли? Значит остаётся версия, что оплётка из алюминия? Тогда какой флюс для алюминия посоветуете?
  13. Здравствуйте, подскажите пожалуйста чем залудить оплётку HDMI-кабеля? Обычная канифоль на спирту её не берёт. Узнавал у монтажников, говорят, что ортофосфорная кислота тоже не берёт. Что можете посоветовать?
  14. А как дела на 8-ке? Установка неподписанных драйверов в Windows 8.1 http://www.windxp.com.ru/win8/article_44.htm Кто-нибудь пробовал? В 7-ке подобная функция заявлена, но не работает.
  15. Спасибо, теперь понятно! Проверил также на железе - работает отлично!
  16. Я решил не редактировать имеющийся, а написать отдельный модуль: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Clamping_Clipping is generic(data_width : INTEGER := 10); port ( clk : in std_logic; InputStream : IN UNSIGNED( data_width-1 downto 0 ); OutputStream : OUT UNSIGNED( data_width-1 downto 0 ) ); end Clamping_Clipping; architecture rtl of Clamping_Clipping is begin process (clk) variable Stream : unsigned(data_width-1 downto 0); begin if (rising_edge(clk)) then if(Stream>960)then Stream:=to_unsigned(960,10); elsif(Stream<64)then Stream:=to_unsigned(64,10); else Stream:=InputStream; end if; OutputStream<=Stream; end if; end process; end rtl; Всё ли правильно?
  17. Здравствуйте! Я только осваиваю VHDL и тут вот понадобилось вмешаться в код, а конкретно, ограничить диапазоны выходного вектора, который объявлен в списке port как: y1 : OUT UNSIGNED( data_width-1 downto 0 ); ,где data_width=10. Вектор y1[9..0] вычисляется так: if rising_edge(clk) then .............. y1 <= UNSIGNED(y1ro(data_width-1 downto 0)); На выходной вектор y1[9..0] мне нужно задать такое ограничение: if ( y1 > 960 ) then ( y1 = 960 ); if ( y1 < 64 ) then ( y1 = 64 ); То есть если вектор y1[9..0] для данного отсчёта ( по очередному фронту клока ) принимает значения больше 960, то присваивать ему значение 960, а если вектор y1[9..0] для данного отсчёта ( по очередному фронту клока ) принимает значения меньше 64, то присваивать ему значение 64. Как это правильно сделать ?
  18. А создают ли примитивы Wire задержку распространения сигнала?
  19. Корректна ли перекомпановка содержимого потока с использованием примитивов Wire? Например, можно ли старшие 10 бит исходного потока Stream_IN[19..0] дублировать в его младшие 10 бит вот таким способом: Проверял, вроде бы работает, но есть сомнения, что это корректный способ. Нет ли у него недостатков / подводных каней?
  20. Здравствуйте! У Альтеры есть пример ( http://quartushelp.altera.com/14.1/master....rated_clock.htm ) как задать клок, полученный из исходного делением на 2. # Create a clock and a divide-by-2 generated clock create_clock -period 10 [get_ports clk] create_generated_clock -divide_by 2 -source [get_ports clk] -name clkdiv [get_registers clkdiv] Не могу понять ( и в примере это не объяснено ) - как задавать аргумент для get_registers ? Если я делю частоту на счётчике с именем inst1|lpm_counter0, то как мне правильно задать аргумент для get_registers или использовать get_pins или что-то другое? Такой вариант не проходит: create_generated_clock -divide_by 2 -source [get_ports clk] -name clkdiv [get_registers inst1|lpm_counter0], тк Квартус не ассоциирует inst1|lpm_counter0 с регистром, т.е с командой get_registers. Warning (332174): lpm_counter0 could not be matched with a register Какие ещё get_...... существуют, которые ассоциируются со счётчиком? P.S. И более общий вопрос - если клок делится на 2 в собственном модуле, то как его объявлять в create_generated_clock ?
  21. Вы не правильно меня поняли. Вот пояснение. Исходный импульс был длиной 716 тактов, а надо сделать, чтобы был 720 тактов, т.е длинне на 4 такта. Это конкретные числа по данной задаче. Отсчёт количества тактов длины импульса - от фронта импульса. Импульсы периодические, т.е постоянно повторяются с определённой фиксированной частотой. Проверить могу тут же на SignalTap-е на железе. По обоим вопросам получен результат. Тема закрыта.
  22. Вот теперь то, что надо! Киньте мне в личку номер куда перевести оплату.
  23. То есть просто последовательным каскадом соединить нужное количество FIFO? И на стыках никаких глюков не будет? Проверил Сигналтепом ФИФО на 4 слова, так реально получилась задержка не на 4 , а на 5 тактов.
  24. А если память использовать? Фиксированная.
×
×
  • Создать...