Перейти к содержанию
    

glock17

Свой
  • Постов

    161
  • Зарегистрирован

  • Посещение

Весь контент glock17


  1. Уважаемый Михалыч, не могли бы Вы перезалить вашу подборку, а то на депозите ее уже нет. Заранее спасибо
  2. В том, что у меня на компе он - единственная программа, которая напрочь отказывается запускаться. :bb-offtopic:
  3. Нет, но покупал у Терасика Не сбивайте топикстартера, ему вроде как нужна SDRAM и ПЛИС в качестве буфера принять-отдать. А то раскрутите новичка на пару-тройку тысяч долларов, а потом постоянно икать будете. :rolleyes: Если "именно этого терасика", то согласен безо всяких оговорок.
  4. Не "гораздо интереснее" (ИМХО), но тоже, как вариант, годится. Только вот вопрос - какую плату клиент получит раньше :rolleyes: Готов держать пари, что Terasic окажется резвее (из личного опыта).
  5. Если кроме ПЛИС и SDRAM больше ничего не нужно, то есть вот такой, приятный по цене вариант: http://www.terasic.com.tw/cgi-bin/page/arc...=139&No=593
  6. так она новая стоит 150 USD... сезонные "скидки"?
  7. Не более, чем рекламный ход (денежки-то зарабатывать нужно). Про PCAD в целом может и верно, давно не пользовался, но у Альтиума с "контролем волнового сопротивления проводников, с дифференциальными парами, с выравниванием длин сигналов" полный порядок. Кроме того, ИМХО, по удобству интерактивной трассировки Альтиуму вообще нет равных. А вот к каденсу с его дружественным интерфейсом у меня личных претензий предостаточно. Тема тянет на очередной холивар. "Крутизна" той или иной САПР зависит от персональных предпочтений и опыта разработчика.
  8. я имел ввиду подсветку команд из, например, tcl-packages квартуса PS. В принципе, UCF у меня в слике прописан, хотелось просто сравнить. А вот для квартуса ищу готовую, поскольку самому забивать такое количество команд немножко лениво.
  9. А кто-нибудь из SlickEdit-юзеров создавал подсветку синтаксиса для скриптовых языков Quartus и ISE? Если да, то поделитесь, пожалуйста.
  10. Это-то я знаю. А вот есть ли возможность сохранить содержимое непосредственно в датасет... Похоже, что Ментор такую фичу не предусмотрел (хотя у Каденса она есть).
  11. Задача: запуск симуляции проекта в Modelsim из командной строки (без GUI) с сохранением результата в dataset. Компилирую файлы командой: vlog -novopt +incdir+$RTL_DIR $RTL_DIR/*.v Симуляцию запускаю командой vsim -novopt -wlf $DATASET.wlf -voptargs="+acc" work.$TLM Далее команда log -r /* И все бы прекрасно, но в датасет не записывается содержимое блоков памяти. А хотелось бы их впоследствии просматривать в Memory Window. Подскажите, какой ключик я забыл воткнуть? Заранее спасибо. ПыСы: вариант -voptargs="+acc=r" не прокатил.
  12. Знакомый рассказывал, как ему корейский представитель Альтиума выставил цену за пакет Custom Board Implementation порядка $10300 (на сайте указана цена $4995). На вопрос "Какого ...?" ответил, что в стоимость пакета входит курс обучения (на корейском языке) и что без этого курса он Альтиум не продаст. Вот такое вымогательство.
  13. про подбор проца можно благополучно забыть :rolleyes: похоже, самый жизнеспособный вариант (несмотря на его кривизну) - дополнительный USB-чип между процом и PC. тем не менее, спасибо всем откликнувшимся
  14. Проблема как раз в том, что USB у процессора один и он нужен для связи с PC. Вариант c USB-мультиплексором тоже не прокатывает, так как PC должен иметь возможность доступа и к DSP, и к HDD. В свою очередь DSP так же должен иметь доступ к HDD. Вот такая закавыка. Как вариант - установка какого-нибудь дополнительного USB-чипа между процом и PC, а USB-порт проца через бридж связать с HDD. Но такое решение мне красивым не кажется.
  15. Процессор уже выбран и поменять его нет никакой возможности.
  16. Задача: связать SATA HDD с DSP по процессорной шине. При этом желательно обойтись без FPGA. Посоветуйте, пожалуйста, чип. Заранее спасибо.
  17. По-хорошему так, как описано в коде, вообще не делается. Если сигнал rx_locked - асинхронный, то его нужно сначала синхронизировать с rx_outclock: reg rx_locked_a, rx_locked_s; always @(posedge rx_outclock) rx_locked_a <= rx_locked; always @(posedge rx_outclock) rx_locked_s <= rx_locked_a; а затем сделать примерно так: always @(posedge rx_outclock or negedge rst_) begin if(!rst_) rx_locked_t <= 1'b0; else rx_locked_t <= rx_locked_s; end
  18. если вы имеете ввиду latch, то использование их считается плохим стилем в принципе, а не только в FSM
  19. не то же самое. появление еще одного компаратора в схеме не насторожило? в первом случае: if(clk_count = to_unsigned(reset_clk_count, clk_counter_width)) then w <= '1'; во втором: if(clk_count = to_unsigned(0, clk_counter_width)) then w <= '1'; разницу заметили? если нет, посмотрите внимательно на входы "B" обоих компараторов...
  20. добрый совет: придерживайтесь одного фронта внутри дизайна. не надо по заднему... :rolleyes: не для разведения холивара, а токмо для сравнения: VERILOG: module test ( input clk, input reset, output reg w ); parameter reset_clk_count = 3'd4; reg [2:0] clk_count; always @(posedge clk or posedge reset) if (reset) begin clk_count <= 3'b0; w <= 1'b0; end else begin if (clk_count == reset_clk_count) begin clk_count <= 3'b0; w <= 1'b1; end else begin clk_count <= clk_count + 1'b1; w <= 1'b0; end end endmodule VHDL: library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity test is port ( clk : in std_ulogic; reset : in std_ulogic; w : out std_ulogic ); end test; architecture test_arh of test is constant clk_counter_width : integer := 3; constant reset_clk_count : integer := 4; signal clk_count : unsigned(2 downto 0); begin clk_proc:process (clk, clk_count, reset) begin if(reset = '1') then clk_count <= to_unsigned(0, clk_counter_width); w <= '0'; elsif (clk'event and clk = '1') then if(clk_count = to_unsigned(reset_clk_count, clk_counter_width)) then clk_count <= to_unsigned(0, clk_counter_width); w <= '1'; else clk_count <= clk_count + 1; w <= '0'; end if; end if; end process; end test_arh; UPD: согласен, проглядел... как грицца, и на старуху бывает проруха... поправил
  21. Физически wire есть элемент соединения блоков между собой. По аналогии с печатной платой wire - это дорожка на плате. тем, что integer представляет собой 32-х разрядный регистр для хранения числа со знаком. Грубо говоря тип integer эквивалентен типу reg signed [31:0]. заменить можно, но не всегда без ущерба. В случае с FPGA умножение/деление выполняется готовыми встроенными умножителями, поэтому менять эти операции на кустарные функции особого смысла нет (окончательный ответ вам даст синтезатор и анализ таймингов). PS: объяснения максимально краткие и не освобождают вас от изучения стандартов :laughing:
  22. Да мне всего-то и нужно для демки: передать служебный сигнал с одного стола до другого (10 м максимум) в свободном для данной страны УКВ-диапазоне и показать это группе неискушенных товарищей. Поэтому вопрос селективности и хай-энд звучания в принципе не стоИт. Уровня грозоотметчика товарища Попова на этапе демонстрации вполне достаточно. В крайнем случае задействую приемник из своего MP3-плеера. Тем не менее спасибо за информацию и даташиты, Si4704 рулит.
  23. хорошее начало. Спасибо за информацию, KA22429 и TDA7088 для коленно-сборной показухи вполне сгодятся. И в продаже поблизости вроде есть. А для продукта я почти сразу остановился на Si4704.
  24. Большое спасибо, ledum, за ссылки. :a14: Все-таки решил в конечном устройстве остановиться на Si4704. Однако инвестору нужна живая демонстрация, которую нужно собрать в сжатые сроки. Для этого больше подошел бы приемник с аналоговой настройкой на частоту станции. Вот именно таких микросхем я сейчас найти не могу. Что-то подходящее я обнаружил у компании IK Semiconductor, но оказалось, что несмотря на заявленную линейку продуктов именно эти чипы они почему-то не выпускают. PS. Йэххх, в эпоху моей радиолюбительской юности была такая чудесная гибридка КХА058. Да где ж ее взять?
  25. Что ж вы такой агрессивный? Раз не хотели изначально слушать советов, то свой топик нужно было поместить в раздел Куплю/Продам. А мнение других можно положить в копилку опыта. В электронике значительно дешевле учиться на чужих ошибках, нежели на своих. Неправильно понимаете, и вам уже это доходчиво объяснили. "Вам таки шашечки или ехать?" (С) Для макета устройства эстетическая сторона вопроса находится на одном из последних мест. А соседние дорожки от соплей защитит паяльная маска (надеюсь, вы не забыли ее нанести), флюс и прямые руки, держащие паяльник. На плате, фотку которой я привел, расстояния от пинов микросхемы до площадок разъема отличаются не сильно. На вашей плате расстояния различаются прилично, особенно для небольших корпусов. Что касается обратной стороны платы, то наличие сетки из контактных площадок дает возможность разместить на ней, например, блокировочные конденсаторы по питанию и еще кое-какую мелочевку. И вам того же желаю.
×
×
  • Создать...