Перейти к содержанию
    

Digi

Свой
  • Постов

    248
  • Зарегистрирован

  • Посещение

Весь контент Digi


  1. Про ESR понял. Да, индуктивности самодельные. Когда то давно смотрел на АТС , но там были только на 0, 1 мкф. Наверное не там смотрел. Всем спасибо за ответы. Буду переваривать полученную информацию.
  2. Делаю ФНЧ для усилителя мощности передатчика. Мощность 100Вт. Частоты 30, 150 МГц. Фильтр собрал, конденсаторы размера 1206, напряжение 100В. Включил и кондюки стали сильно греться. Поставил номинал в 2 раза меньше, но в параллель - греются меньше. По каким еще параметрам выбирать(посчитать) конденсаторы, кроме напряжения ?
  3. Собственно примерно так я и сделал. Думал, может можно как то по другому. genvar cnv_a; generate // Converting bit vector into byte array for (cnv_a=5; cnv_a<33; cnv_a++) begin : bitconv assign pll_stor_wire[cnv_a] = pll_conf_wire[263-8*cnv_a : 256-8*cnv_a ]; end endgenerate
  4. Как преобразовать вектор типа output reg [263:0] pll_config_data; в массив данных reg [7:0] pll_config_storage [0:32]; ? Задача такая: модуль приема, принимает данные , которые передаются по последовательному интерфейсу и записываются в pll_config_data. Соответственно на выходе этого модуля я имею вектор с разрядностью 264 бита. Эти биты я буду защелкивать в массиве pll_config_storage в соответствии с его организацией. Пока что кроме как использовать generate и побайтно выделять данные, идей нет. Как сделать правильно ?
  5. Может немного не в тему, но долго бился с такой проблеммой. При передаче данных с компа на ПЛИС по UDP, пакеты приходили, а если ПЛИС их пытается отправить обратно, переставив МАС, IP и Порт, то до компа ничего не доходит, даже Сниффер ничего не видит. Оказалось все просто, ПЛИС должна была отработать ARP запрос и после этого пакеты стали проходить в обе стороны.
  6. Спасибо за примеры. Вроде разобрался, буду собирать.
  7. Пытаюсь посчитать полосовой фильтр со следующими характеристиками: Т-фильтр, центральная частота 100 МГц, полоса 20 МГц, неравномерность 3дб, ослабление при отстройке +40 МГц -30дб, сопротивления 50/50 Ом. В результате получается что некоторые контура имеют емкось 318пФ и индуктивность 8нГн. А это мне не нравится. Другие варианты расчета и реализации есть, кроме как использовать ФНЧ и ФВЧ ?
  8. Но я так понял, что generate нельза вставлять внутри case.
  9. Как правильно использовать generate внутри case и можно ли так ? Общая идея такая: описать st_cnt 3-4, 5-6, 7-8.... Сейчас ругается что перед generate нет endcase. begin case (st_cnt) 0: st_cnt <= 0; 1: st_cnt <= 2; 2: st_cnt <= 3; genvar i; generate for (i=0; i<6; i++) begin : pll_state_mach case (st_cnt) i+3: begin st_cnt <= i+4; pll_reg <=5-i; pll_wr <= 1; end i+4: begin if (!pll_busy)st_cnt <= i+5; pll_wr <= 0; end endcase end endgenerate 5+5: begin st_cnt <= 0; // Stop state machine end endcase end
  10. Модули, КИТы

    Распродам остатки, г Воронеж: Ethernet контроллер на ENC28J60 - 300р Камера MT9D11 2.0 MPix, JPEG выход - 400р LCD дисплей 2,4' контроллер ILI9325 - 500р LCD дисплей 3.2' контроллер SSD1289 - 500р на обоих тачскрин с контроллером SPI Недоделаный проект цифрового осциллографа-генератора: ПЛИС EP2C8, SDRAM, DDS AD9869, ADC 9288 - 4000 руб. Кто заберет все, по цене можем договориться и могу выслать по России. тел 8-980-244-5747 или ЛС.
  11. Занимаюсь выбором крейта для установки 2х модулей, работающих по Serial Rapid IO в режиме точка-точка без использования MCH. Подходящий крейт нашел, но в его структуре нигде не нашел разводки CLOCK сигналов. Поэтому и вопрос: для работы интерфейса SRIO или PCI-E нужны ли тактовые импульсы или достаточно соединить линии TX->RX и RX->TX ? Сам себе отвечаю. Похоже что не нужны. Такты нужны только контроллеру для формирования и восстановления сигнала, причем они не обязательно синхронны.
  12. Не могу понять, как в один *.out файл поместить програмные модули, которые должны работать в разных ядрах. И вообще я еще не понял принцип работы программы в многоядерном процессоре. Я понял я так: К примеру Ядро 0 выполняет задачи передачи данных, обобщение результатов вычислений. Ядра 1-4 вычисляют принятые во внутреннюю память данные и укладывают туда же результаты. Связь между ядрами осуществялется через внутренюю память. Это правильно или как то иначе ?
  13. Похоже разобрался...
  14. Помогите с USB

    Задача такая: нужно сделать устройство, которое будет под Win работать как клавиатура USB и еще чтобы по этому интерфейсу можно было-бы читать и писать в устройство конфигурацию. Такое возможно ? Как проще такое реализовать ? На каком контроллере ? С USB работал только либо просто стандартное устройство типа клавиатуры, либо через libusb.
  15. Спасибо, наверное то что нужно, буду пробовать. PS: Вот еще кое что нашел, правда это немного не то что мне нужно.
  16. Делаю проект на Altera и Nios. Хочу использовать встроенный UART-JTAG для приема и передачи отладочных сообщений. Как можно обратиться к нему из приложения на PC ? При помощи каких функций DLL ?
  17. Спасибо ОГРОМНОЕ !!! Проблема у меня была в сохранении регристров при входе в прерывание. Ваш код помог обнаружить ошибку.
  18. Пишу программу под отечественный клон TMS320F240. По каждому периоду таймера вырабататывается прерывание .Вектора прерываний находятся начиная с адреса 0. Прерывание INT3. После первого включения питания и формирования прерывания, процессор в обработчик заходит только один раз, всё выполняет и больше не заходит, хотя флаг прерывания устанавливается. Если дать принудительный сброс процессору, то проц начинает заходить в прерывание каждый раз и в дальнейшем программа работает верно до следующего выключения питания. Кто нибудь с таким сталкивался или это особенность отечественного клона ? Причем ведет себя одинаково как при работе из Flash, так и из RAM. Вот кусок кода. .sect "vectors" .global RESET .global NMI .global INT3 .global _c_int0 _c_int0 b RESET ; Переход по вектору RESET INT1: B INT1 INT2: B INT2 b INT3 INT4: B INT4 INT5: B INT5 INT6: B INT6 ..... ..... ..... ;============================================================== ..... .text INT3: ;Обработка прерывания; push_ PC7_ON ldp #7433h>>7 ;PM=*PIVR lacl 7433h ; EVIMRB xor #002Bh ;Определение T2PINT bcnd T2CMP_FINT, EQ zzz: b zzz ; Halt if int not valid T2CMP_FINT: ..... ..... ..... EXIT_INT: clrc INTM PC7_OFF pop_ ret -------------------------------------------------------------- file.cmd MEMORY { PAGE 0 : VECS: origin = 0x0, length = 0x40 PAGE 0 : PROG: origin = 0x40, length = 0x3d00 PAGE 0 : Bootloader: origin=0x3E00 length = 0x200 PAGE 0 : B0prg: origin = 0xFE00, length = 0x100 PAGE 0 : PAGE 1 : B1: origin = 0x300, length = 0x100 PAGE 1 : B2: origin = 0x60, length = 0x20 PAGE 1 : B0data: origin = 0x200, length = 0x100 PAGE 1 : DATA: origin = 0x8000, length = 0x7FFF } SECTIONS { vectors : {} > VECS PAGE 0 .text : {} > PROG PAGE 0 .bss : {} > B1 PAGE 1 .edata : {} > DATA PAGE1 stack : {} > B2 PAGE1 }
  19. всем спасибо за подробные ответы. Из-за дефицита места на разъеме сделал примерно так ggssssssssgg. На макете, с резисторами по выходам и с более длинными проводниками это все работает. До 200 МГц поднимать частоту не буду, останется 100.
  20. Спасибо. Получил полезную информацию для себя. По поводу шумности : думаю сильно не ухудшит ситуацию прямое подключение к ПЛИС, так как АЦП 8 бит.
  21. Делаю модуль АЦП 10 бит, 100 МГц , в дальнейшем планирую поднять до 200. Соединять модуль с платой обработки планирую через разъём PLD. Возник вопрос, а будет ли это нормально работать на этой частоте? Выход АЦП не дифференциальный, 3.3 В. Расстояние от АЦП до разъёма 20мм, от разъема до ПЛИС 30-40 мм. Волновое сопротивление проводников около 120 ом. Емкость проводника около 2.5пф. Нормально ли дойдет сигнал до ПЛИС ? Нужно ли по выхолам АЦП предусмотреть последовательные резисторы? Нужно ли ставить терминаторы у ПЛИС? Как лучше расположить сигналы на разъёме подряд, или один ряд, например черный - земля, а нечетный - сигналы ?
  22. Спасибо всем за рекомендации по поводу стабилизаторов. Пока формулировал еще один вопрос, кажется разобрался в проблеме, связаной с моей схемой. Буду дальше думать.
  23. Извиняюсь конечно, за свои ламерские вопросы, но как тогда работает комповый БП? И то, что сделано у меня, тоже устраивает(стабилизация только по 3.3В), кроме КПД 70 % . Хотелось бы получить около 85-90.
  24. Я в своем осциллографе применял дисплей , купленый на eBay за 18$ с доставкой. Такойже используется в китайских мобильниках. То что получилось - тут в конце http://electronix.ru/forum/index.php?showt...p;#entry1012743 Интерфейс 8 или 16 бит. Чуть подробнее тут. http://digi.vov.ru
×
×
  • Создать...