Перейти к содержанию
    

10ff

Свой
  • Постов

    426
  • Зарегистрирован

  • Посещение

Весь контент 10ff


  1. Не измерял, вижу что она на уровне шумов или ниже.
  2. Да, вы правильно поняли. Отложил я в сторону фильтр. Начал ковыряться в смесителе. На выходе смесителя стоит усилитель ADL5611. Если удалить усилитель с платы и заменить его перемычкой, то полезный сигнал на частоте 3,1 ГГц ожидаемо падает на 21 дБ. При этом вторая гармоника на 6,2 ГГц падает на 39 дБ. Привожу скриншоты. Усилитель установлен. Усилитель заменен перемычкой.
  3. При подаче чистого сигнала с генератора сигналов на спектроанализаторе никаких гармоник нет.
  4. Спектроанализатор R&S, не USB. Подавал и с генератора и с отдельной отладочной платы синтезатора - никаких нареканий. Что интересно - при разном Amplitude Scale на спектроанализаторе паразитная гармоника может принимать значения от -58 до -74 дБм. Ощущение, что идет наводка от одной из цепей смесителя.
  5. Aner, uve, спасибо за наводки, буду разбираться дальше. Похоже дело в несогласованности.
  6. Нужна подсказка от знатоков... Есть тестовая плата с ФНЧ: SMA разъем -> фильтр -> SMA разъем. Интересует подавление частоты 6,2 ГГц. 1. При подключении тестовой платы к генератору сигналов и спектроанализатору я вижу, что все прекрасно работает. Частота 6,2 ГГц с уровнем -5 дБм подавляется аж на ~50дБ. 2. Заменяю генератор сигналов на некое устройство (по факту это обычный смеситель) с выхода которого идет нежелательная гармоника на частоте 6,2 ГГц и уровнем -60 дБм. Подавления практически нет (несколько дБ). Что я делаю не так? Каким образом эта частота может просачиваться в обход фильтра?
  7. Коллеги, существуют ли ключи SPST или SPDT в которых ПРИ ОТСУТСТВИИ питающего напряжения один из входов является по умолчанию терминированным на 50 Ом (или нормально замкнутым с другим выводом)? Частоты до 3-4 ГГц, мощность в районе 0 дБм. Смотрю даташиты от того же AD, но нигде явно не прописано как ведут себя те или иные входы/выходы при отсутствии питания.
  8. Кажется победил. Удалил компонент EM_extract со схематика и панели проекта и заново добавил. И похоже забывал делать "Add extraction" при изменении параметров...
  9. Начал изучение AWR с делителя Вилкинсона. Когда делаю анализ без включения EM_Extract, вижу именно те S-параметры, которые и ожидаются. Когда в опциях элементов включаю EM_extraction->Enable, то вижу какие-то нереальные S-значения, к примеру S12=+20дБ. Не могу понять, что делаю не так? Почему все становится больше 0дБ?
  10. У ПРПОМ LOS - loss of syncronization, потеря синхронизации с удаленным модулем. Что за тип выхода не подскажу, скорее всего ОК. Внутри самого модуля имеется подтяжка к питанию.
  11. Разобрался кажется. Может и кривое решение, но работает. Достаточно добавить функцию to_slv: mac_result_scaled_signed(i) <= signed(to_slv(mac_result_scaled(i)));
  12. Достался в наследство модуль на VHDL, который синтезируется и хорошо работает. Попытался запустить моделирование в Questa и получил ошибку: (vcom-1167) Index value -22 (of type std.STANDARD.NATURAL) is out of range 0 to 2147483647. Ругается на строку в блоке generate: mac_result_scaled_signed(i) <= signed(mac_result_scaled(i)); где ... signal mac_result_scaled_signed : Tarray_of_signed(15 downto 0)(35 downto 0); ... signal mac_result_scaled : Tarray_of_sfixed(15 downto 0)(13 downto -22); Tarray_of_sfixed и Tarray_of_signed объявлены как: ... type tarray_of_sfixed is array (integer range <>) of sfixed; ... type tarray_of_signed is array (integer range <>) of signed; ... Не являюсь знатоком VHDL. Подскажите, как скормить Questa этот модуль? Vivado 2023.1, Questa 2021.1.
  13. Понятно, мнения о целесообразности общего репозитория разделились...
  14. Коллеги, хотелось бы услышать ваше мнение. Допустим, есть наработанная годами база различных модулей. Они отлажены, протестированы, редко в них вносятся изменения. В данный момент каждый разработчик копирует эту базу в папку своего проекта, дорабатывает некоторые модули, иногда находит и устраняет ошибки. Плюс ко всему, в зависимости от проектов появляются разные вариации тех же самых модулей под разные задачи. С ростом числа проектов и количества разработчиков отслеживать все это становится просто нереально, возникают проблемы синхронизации. Как вы организуете совместный доступ к единой базе модулей? Git + САПР? Или более сложные механизмы?
  15. Спасибо. Можете что-то посоветовать с чем работали лично?
  16. Посоветуйте Lora модули

    Необходимо организовать небольшую сеть с топологией звезда для сбора информации с датчиков. Сеть не более 10 узлов, которые передают показания на АРМ оператора. Скорости работы не важны, пусть будет 1 раз в несколько секунд. Расстояние между узлами до 1км. Подключение к интернету не требуется. Вопросы: 1) Посоветуйте доставаемые Lora модули, желательно с исходниками примеров работы. 2) Можно ли использовать узловые модули Lora в качестве шлюзов? Т.е. 10 узловых модулей Lora будут сбрасывать статистику на точно такой же модуль, который будет "слушать" всех. 3) Использование протокола LoraWan в данном случае обязательно или можно нагородить что-то свое? И стоит ли что-то выдумывать?
  17. Xilinx как раз и говорит, что предельная эффективная частота для самого быстрого Артикса составит 1066 Мбит/с по каждой линии. Т.е. реальная частота на которой сможет работать ваша память будет 533 МГц. В случае SODIMM-модуля памяти у вас в распоряжении 64 бита шина.
  18. На KC705 при тестировании DDR3 полный цикл обращения ко всему объему памяти (запись+вычитывание) занимал примерно 200 мс на частоте 800 МГц (эффективная 1600). Это соответствует пропускной способности ~10 Гбайт/с. Если вы в Artix'е собираетесь работать на частоте 533 МГц, то для модуля SODIMM можно получить пропускную способность примерно в 6,5 Гбайт/с. Опять же все зависит от способа обращения к памяти - запись всего объема, попеременная запись/чтение и т.п. Максимальная пропускная способность достигается при непрерывной записи или чтении всего объема.
  19. Изучаю доки на Multiboot от Xilinx и возник вопрос - а что делать, если сам Golden Image загружается с ошибкой и кроме ПЛИС никакого внешнего контроллера загрузки нет? Может ли ПЛИС самостоятельно, допустим, переключиться на резервный чип flash-памяти?
  20. Микрон завершает ОКР. Образцы обещает в этом году. Аналог 88E1543.
×
×
  • Создать...