Перейти к содержанию
    

zuuuuk

Участник
  • Постов

    197
  • Зарегистрирован

  • Посещение

Весь контент zuuuuk


  1. доброго времени суток. хотелось бы узнать как можно произвести преобразование сигналов собственного типа в стандартный. type bs is record signal timer1 : std_logic_vector (3 downto 0); signal timer2 : std_logic_vector (3 downto 0); end type bs; type my_type is record signal bs : bs; signal tk : bs; end type my_type signal r : my_type; signal tmp : std_logic_vector (7 downto 0); begin tmp<=r.bs; можно ли объеденить сигнал r.bs в шину std_logic_vector (7 downto 0) ведь этот сигнал занимает всего 8 линий. так же как и std_logic_vector (7 downto 0).
  2. исчерпывающий ответ. блогодарю за внимание
  3. доброго времени суток. я очень часто видел код vhdl, где происходило прибовление 1 в типу std_logic_vector почему у меня подобный код не работает Library IEEE; use IEEE.std_logic_1164.all; use std.textio.all; entity fb is port ( clk : in std_logic_vector; ); end; architecture fr of fb is begin process (clk) variable tmp : std_logic_vector (10 downto 0); variable tt : std_logic_vector (10 downto 0); begin if rising_edge ( clk ) then tmp := tt +1; end if; end process; end;
  4. доброго времени суток. Мне бы хотелось узнать как пишится загрузщик для .elf файлов и как все это дело линкуется. поделитесь примерчиком.. покажите ссылки на статьи если кто знает меня это интересует В позновательных целях. зарание благодарю
  5. доброго времени суток. поделитесь исходниками часов реального врмени реализованные на vhdl или verilog зы: зарание благодарю
  6. на плате нет ни клавиатуры ни монитора. как же к этой плате (Linux) подключится
  7. Доброго времени суток. хотелось бы проконсультироваться, поскольку сам новичёк. -Как подключаеться программа (написанная мной) к Linux который стоит на ARM? ее нужно залить в файловую систему linux и потом запускать? или как. или ее надо компилить в месте с исходниками Linux? зарание благодарен
  8. я не могу понять почему названия символов отображуються по разному? хотя VHDl код используется один и тот же, просто Проекты разные. http://img15.imageshack.us/my.php?image=gen2y.jpg http://img15.imageshack.us/my.php?image=genddw.jpg зарание благодарю.
  9. Последний вопрос. Подскажите, почему имена символов отображаются по разному?
  10. дело в том что я сам скрипт не менял. это два совершенно одинаковых проекта. (просто скопированных) и менял я только верхний уровень. впринципе там есть config фаил в котором задаються чипы производителей, но я его не менял. как можно исправить эту проблему?
  11. Да, в первом случаи я использую скрипт от gaislera. на рисунках результат после синтеза. RTL. на втором рисунке я пытаюсь создать свой верхний уровень и синтезировать его.
  12. Доброго времени суток. Прошу прощения за ламерский вопрос, но я не могу понять почему при компиляции одного и того же VHDl кода отображение модулей происходит по разному. Какие настройки отвечают за это. зарание благодарю.
  13. в Active-HDL есть функция simulator-> initialize C Code Debug этот отладчик как то связан с симуляцией VHDl кода (проц 8051) или он сам посебе?
  14. Доброго времени суток. Возник вопрос. Можно ли симулировать процессор (например 8051) и код под него одновременно. т. е. прогонять С код до точки останова и затем посмотреть диаграммы сигналов этого проца. Какой программный пакет такое позволяет?
  15. как создать массив из bit?

    Добрый день. Я собираюсь программировать контроллер AT90S8515 в CodeVision. Возник вопрос. Можно ли создать массив с типом bit? И обращаться к отдельным битам этого массива. Я пробовал вот так typedef struct { char mybit:1; } b; b Bit[127]; ….. Bit[counter].mybit=PINA.0; Но запись в память происходит, по типу char. Массив занимает много места. Пожалуйста, плдскажите другие варианты.
  16. Проект состоит из 2х частей: -Логики -И процессора Поскольку процессор синтезируеться порядка 20 минут я решил сделать из него нетлист и к нему подключать VHDL код и потом тестировать и синтезировать. Поскольку удобнее работать в Active-HDL то весь проект я решил разместить там. Но нетлист этого процессора, по-моему, читается не правильно AHDL то вот исиху тут. Вот этот нетлист. Может кто посмотрит его Active-HDL е . 3mp.rar
  17. я понял в чем проблема. когда я создаю нетлист в симплифае и потом подключаю его к Active-HDL то под нетлистом отображаются не все entity, которые я исполюзую в проекте. Хотя их названия есть в тексте нетлиста. У когонибудь были такие проблемы?
  18. Дело в том, что я к проекту подключаю файл .edf . и после компиляции он под нетлистом создает много файлов. какой из них верхнего уровня не могу понять. Опишите по подробнее, как символ создать этого нетлиста? И что такое враппер?
  19. добрый день. подскажите плиз. я использую Active-HDL. мне бы хотелось подключить в проект нетЛист, а уже к ниму подключать свои модули. Подскажите, как это можно сделать?
  20. Добрый день. я только начал разбираться с IAR. у меня программатор OLIMEX ARM-USB-OCD. отладочная плата c arm AT91SAM7. подскажите, плиз, как запустьть дебагер IAR. какой драйвер надо выбрать..
  21. fontp, это очень интересные решения. подскажи книгу или ресурс где можно почитать об этих методиках подробнее.
  22. >>var(Ф) >= 1/(2*N*SNR), N - кво точек измерения, SNR- отношение >>сигнал шум, Ф угловая фаза 0-2pi >>var(Ф) в вашем случае ~10-6. Соответственно если иметь, >>например N=10000, SNR=100 то всё будет OK :-) уточни пожалуйста. N-это количество точек измерения на период или общее количество точек измерения.
  23. возможно я размести свой вопрос немного не там. но я так понимаю люди здесь занимаются обработкой сигналов. поэтому надеялся на квалифицированную помощь. тактовы импульсы у меня идут с частотой 60 МГц. периуд этих тактирующих импульсов примерно 16 нано секунд. следовательно точность такого измерения на 3 парядка меньше заданного. (спасибо за предложение.)
  24. Да, вот я и прихожу к выводу, что надо преобразовывать меандру в синус оцифровывать и делать цифровой фазовый детектор. но решение дико не красивое.. :( пока стою в тупике
  25. Доброго времени суток. Хотелось бы посоветоваться в одном вопросе. Может ктонибудь поможет. Имеются 2 сигнала (меандры) по 5 МГц. Они расходятся между собой. Одна отстает от другой. (максимум на пол периуда) Как можно померить расхождение между ними с точностью до 10 пико секунд. На плату заведена тактовая частота 60 МГц. Какими средствами можно решить эту проблему? И вообще можно ли провести измерения с такой точность ? зарание спасибо.
×
×
  • Создать...