Перейти к содержанию
    

IUser

Участник
  • Постов

    40
  • Зарегистрирован

  • Посещение

Сообщения, опубликованные IUser


  1. Есть такое волшебное слово - тиристор. Схема не сложная - возьми любой советский учебник бородатых годов, там много "подобных" схем. Сложная там технология - сделать управляемый процессором полупроводник на 380В через который прет ток 350 А (как у нас) - это достойно. А в остальном все просто: установили начальный ток, установили максимальный ток, установили время нарастания от линейного до максимального и все, а остальные параметры (штук 20-30) просто красивая фича (вычислительные ресурсы позволяеют из ввсести, так почему бы не использовать )

     

    От себя: жуть какая-то. Во всех моих разработках, если ток превышает 30 мА (специфика такая), я уже волнуюсь как-бы че не сгорело, а тут приборище пропускает через себя 350 А. Уххххх!

     

     

    У меня ток всего то ампер 12 от силы, может можно и без управляющего процессора обойтись, и подскажите плиз название хоть одного барадатого учебника, где есть такая схема, может Титце и Шенк подойдет?

  2. Подскажите по какому принципу работает устройство плавного пуска электродвигателя. Или может где нибудь можно скачать схему такого устройства, для запуска двигателя 2,2 кВт.

  3. Подскажите по какому принципу работает RTL?

    И ещё, во время проверки RTL в ISE 8.1i выскачило предупреждение

    The following signals are missing in the process sensitivity list:

    На сколько я понял, текущий сигнал отсутствует в списке чуствительности, как исправить ошибку?

  4. Подскажите как проверить проект на VHDL, обязательно ли нужно писать для него Testbench, или это на самом паследнем этапе. Можно ли как то проверить путём пошаговой трассировки, как в языках типа С++, паскаль и т.д. В описании на ISE 8.1i я нашёл раздел TRACE, но в нём очень не понятно написано, для специалистов высокого уровня.

    Где можно скачать руководство типа, нажмите эту кнопку, введите это и т.д.

  5. Могу ли я потом поместить данные из каналов

    signal Chan1_D : std_logic_vector(23 downto 0); --данные для 1 канала.

    signal Chan2_D : std_logic_vector(23 downto 0); --данные для 2 канала.

     

    поместить в FIFO буфер или навдо что бы был тип данных std_bit_vector?

  6. Спасибо за примеры! Многое стало панятно.

    Про сигнал значимости буду уточнять, а весь VHDL файл только в разработке, по этому и не написал, а как без сигнала значимости выделить данные или это очень сложно??

  7. Да данные передаются по однаму канала, и их надо декадировать и разбить на два, и ещё, данные 24 бита и между ними есть временные промежутки, нужно ли что то делать, что бы за распазнавать когда за временным промежутком начинаются данные, что бы не принять временной промежуток как последовательность из нулей.

    А что значит затактировать процесс?

  8. Да, имено это, логический 0 или 1

     

    Я написал команды, вот что получилось

     

    process (read_data)

    if LR=1 then canal_1:= DataLR;

    else canal_2:=DataLR;

     

    Тип данных у canal_1, canal_2: bit_vector (23 downto o)

    а LR Это имя порта куда поступает лог ноль или единица

     

    DataLR имя порта куда поступают данные

  9. Помогите прочитать сигнал.

    Суть задачи:

    На одном входе ксайленса появляется или высокое напряжение или низкое, на втором входе идут данные если на первом входе высокое напряжение то считается что это данные из первого канала, а если низкое то это данные со второго канала.

    Подскажите какой командой распазнать какое напряжение на первом входе, и какой камандой принять данные, а то я вообще запутался.

×
×
  • Создать...