Перейти к содержанию
    

Egor_N

Свой
  • Постов

    76
  • Зарегистрирован

  • Посещение

Сообщения, опубликованные Egor_N


  1. Не фик на гугл пенять, коли рожа крива. (С) Русская народная мудрость.

     

    Что мешает

    http://www.google.ru/search?hl=ru&safe...mp;aq=f&oq=

     

    спасибо, прочитал. согласитесь, что о том, что такое S-Video, по Вашей ссылке получить ответ не удастся. Разве что внезапно не посетит идея передавать по отдельным проводам одного кабеля яркостный сигнал с синхроимпульсами и сигнал цветовых поднесущих.

     

    Связан. Для Pal в Eвропе применяют поднесущую 4.43xxxx МГц , для Секама одна поднесущая для "красных" строк и немного другая для "синих" , точное значение посмотрите в Гост. Для NTSC поднесущая 3.57 с копейками.

    Разобрался. То есть S-Video в разных системах цветности будет сформировано по-разному, как яркостная составляющая, так и составляющая цветности.

  2. ... цветоразностный сигнал в S-Video точно такой же как и в композитном сигнале ...

    Если интересует подноготная чего и как намешано в цветоразностном сигнале - гляньте ГОСТ 7845

     

    я посмотрел гост. извините, если вопрос покажется глупым, но меня интересует, связан ли выбор частот цветовых поднесущих при формировании композитного видео сигнала с системой цветности (PAL, SECAM, NTSC и тд)?

  3. Нужно описание этого "чуда".

     

    Понятно, что используются два сигнала - яркостный и цветовой. Конкретно, что собой представляет цветовой сигнал, хотелось бы услышать мнение знающих людей.

     

    Гугл, конечно, рулит, но хочется тех.литературы, а не прайсов и объяснялок типа "чего куда совать"

  4. И что тут не понятно? Верилог как верилог... 4-х битный сумматор, если a,b,s четырехбитные, а ci,co однобитные.

    виноват... :) проверил, действительно все проще... намного...

    module full_adder(a,b,ci,s,co);
    
    input [3:0]a,b;
    input ci;
    output [3:0]s;
    output co;
    
    assign {co, s} = a + b + ci;
    
    endmodule

  5. Описать таблицей на AHDL (в этом языке есть такая фича), раз все равно квартусом синтез, а потом посмотреть logic equations.

     

    ЗЫ. Я там кой-где забыл "assign" написать в спешке :)

     

    я уже нашел :) все работает, буду дальше раскапывать.

     

    Рабочий 4-х битный полный сумматор:

    module sum(a,b,ci,s,co);
    
    input a,b,ci;
    output s,co;
    
    assign s = a^b^ci;
    assign co = (a & ci) | (b & ci) | (b & a );
    
    endmodule
    
    module sum4(a,b,ci,s,co);
    
    input[3:0] a,b;
    input ci;
    output[3:0] s;
    output co;
    
    wire [2:0] c;
    
    sum  sum0 (a[0], b[0], ci,   s[0], c[0]);
    sum  sum1 (a[1], b[1], c[0], s[1], c[1]);
    sum  sum2 (a[2], b[2], c[1], s[2], c[2]);
    sum  sum3 (a[3], b[3], c[2], s[3], co);
    
    endmodule

     

     

    Ну и на кой тогда изголяться? Я-то думал препод так сказал... Напишите {co, s} = a + b + ci; и не парьтесь с этой логикой :)

     

    извиняюсь, но я не понял смысл этой фразы {co, s} = a + b + ci;

  6. Да. А можно и в generate сделать N-битный параметризованный модуль. Корректнее сказать не "4-х кратное использование" а "использование 4-х экземпляров"

     

    1мкс по любому успеет.

     

    о корректности - не спорю :)

     

    мне надо именно 4-х битный. я хочу из него сделать двоично-десятичный сумматор, а затем преобразователь bin->bcd используя алгоритм Горнера. вот там может и понадобится параметризация.

  7. Скажу честно - правильно-ли Вы заминимизировали - не смотрел.

    Я-бы на Вашем месте не занимался мазохизмом, а написал всё в лоб через таблицу (или case), и посмотрел что получиться.

    Оптимизаторы на сегодня не плохие, не думаю что будет хуже чем ручками.

    Или уже пробовали и чем-то не устроило?

     

    сложно сказать, что быстрее - таблица или логика (хотя мне в данном случае особой скорости и не надо), но про табличную реализацию как-то и не подумал. как вариант вполне может быть.

     

    минимизировал в Logic Friday 1, другого ничего под рукой нет. до сих пор меня эта прога устраивает, только геморно мышкой клоцать при вводе табличных данных :( если кто аргументировано раскритикует использование данного ПО и предложит альтернативу, постараюсь прислушаться :)

  8. Все куда проще...

     

    1) То есть объявляется один модуль, а затем описывается его 4-х кратное использование внутри другого модуля, если я правильно понял.

     

    2) Исключающее ИЛИ - s = a^b^ci; - как это я не разглядел.

     

    3) о симуляции... перебираю 512 входных воздействий, длительность одного воздействия 1 мксек. по идее, логика должна успевать.

     

    0) СПАСИБО. :)

  9. Заблудился в трех соснах под конец недели...

     

    Таблица истиности:

    b a Ci | Co  s
    -------+------
    0 0 0  | 0   0
    0 0 1  | 0   1
    0 1 0  | 0   1
    0 1 1  | 1   0
    1 0 0  | 0   1
    1 0 1  | 1   0
    1 1 0  | 1   0
    1 1 1  | 1   1

     

    Минимизированые логические уравнения:

    Co=(a & Ci) | (b & Ci) | (b & a );
    s=(b & ~a & ~Ci) | (~b & ~a & Ci) | (~b & ~a & Ci) | (b & a & Ci);

     

    "Растягиваю" полученное на 4-е бита, пишу вот такой верилог:

    module full_adder(a, b, Ci, s, Co);
    
        // Input Port(s)
        input [3:0] a;
        input [3:0] b;
        input Ci;
    
        // Output Port(s)
        output [3:0] s;
        output Co;
    
        // Internal wire(s)
        wire [3:0] C_in;
        wire [3:0] C_out;
    
        // Additional Module Item(s)
        // Minimized:
        // C_out = a C_in + b C_in + b a;
        // s = b a' C_in' + b' a C_in' + b' a' C_in + b a C_in;
    
        assign C_in[3]=Ci;
        assign C_out[3]=(a[3]&C_in[3])|(b[3]&C_in[3])|(b[3]&a[3]);
        assign s[3]=(b[3]&~a[3]&~C_in[3])|(~b[3]&~a[3]&C_in[3])|(~b[3]&~a[3]&C_in[3])|(b[3]&a[3]&C_in[3]);
        assign C_in[2]=C_out[3];
        assign C_out[2]=(a[2]&C_in[2])|(b[2]&C_in[2])|(b[2]&a[2]);
        assign s[2]=(b[2]&~a[2]&~C_in[2])|(~b[2]&~a[2]&C_in[2])|(~b[2]&~a[2]&C_in[2])|(b[2]&a[2]&C_in[2]);
        assign C_in[1]=C_out[2];
        assign C_out[1]=(a[1]&C_in[1])|(b[1]&C_in[1])|(b[1]&a[1]);
        assign s[1]=(b[1]&~a[1]&~C_in[1])|(~b[1]&~a[1]&C_in[1])|(~b[1]&~a[1]&C_in[1])|(b[1]&a[1]&C_in[1]);
        assign C_in[0]=C_out[1];
        assign C_out[0]=(a[0]&C_in[0])|(b[0]&C_in[0])|(b[0]&a[0]);
        assign s[0]=(b[0]&~a[0]&~C_in[0])|(~b[0]&~a[0]&C_in[0])|(~b[0]&~a[0]&C_in[0])|(b[0]&a[0]&C_in[0]);
        assign C_out[0]=Co;
    
    endmodule

    "Рисую" файл входных воздействий (*.vwf). Запускаю в QuartusII на функциональную симуляцию. Все без предупреждений и ошибок. Но сумматор не суммирует. Буду весьма признателен, если кто разглядит, где нахомутано :).

  10. ...Работа над правильным вендором идёт. Вот только стоит он 24 000$. :(

    ничёсе... буржуины... а для каких целей надо rapid? просто поковырять или по работе?

     

    warrior-2001, смотри личку, возможно там то, что надо.

  11. ...Потребовалось мне использовать в проекте данный IP блок. Да вот незадача - Warning: Megafunction that supports OpenCore Plus feature will stop functioning in 1 hour after device is programmed...

    До этого времени мне казалось, что у меня полная лицензия на Quartus 9.0 SP2...

    Есть два пути:

    1) декриптовать;

    2) найти и прописать правильный вендор стринг в "полную" лицензию, чтоб она стала более полной;

    Скорее всего, этот пост через некоторое время уберут с глаз не "своих" по-дальше. Так что, ответ будет искать очень не просто...

  12. СПЕЦЫ, посоветуйте с чего можно начать изучение USB? Интересует какая-нибудь толковая книга или статья по этому вопросу.. ..Или просто поверить внутреннему голосу и начать с изучения спецификации на USB? Как быть?

    Заранее спасибо.

    Есть книга с диском для начала. Ну и что, что Агуров...

  13. Спасибо. уже часть есть.

    Это же отсюда 600-омные нагрузки-то стали стандартом, видимо...

    И "0" дБ , в виде 0.775В на 600 Ом в ПРО аудио...

     

    Интересно, а как линию представить? Емкость там точно приличная,

    на высоких сопротивление будет относительно малым.

    А на входе у нее что, со стороны станции?

     

    Модем как таковой - единственное, что могу сам посмотреть-померить...

     

    Уважаемый Orthodox!

     

    Как-то не так давно приходилось разбираться с данным сплиттером-фильтром. Должен сказать, что в них нет ничего такого, ради чего стоит так глубоко копать. Проще купить готовый и пользоваться.

     

    В моем фильтре ADSL-модем включается напрямую к линии, а телефон через фильтр. Именно этот фильтр и "давит" ВЧ шумы. То есть, на телефон должна попадать полоса 300 ... 3300 Гц (~0 .. 4 кГц), а полосу "26кГц и далее" надо давить, она телефону ни к чему. Без данного фильтра-сплиттера совместная работа телефона и модема будет весьма проблемной. К тому же, качество сигнала в линии можно смотреть с помощью сервисного программного обеспечения модема. Если на линии "грязь", то тут уже ничего не поделаешь. Нам пришлось сменить телефонную линию, благо было два телефонных номера.

     

    Вот схема моего фильтра (извиняюсь за наскальную живопись):

     

    ..................................................+------C2--------+

    ..................................................|.......................|

    ........+------------+-----+.....+-----+-----+.....+-----+------+

    ........|................|.....*.\/\/\/........|.....*.\/\/\/........|........|

    DSL modem.....LINE.....-----.......C1.......-----.......C1...PHONE

    ........|................|......../\/\/\.*.....|......../\/\/\.*.....|........|

    ........+------------+-----+.....+-----+-----+.....+-----+------+

    ..................................................|.......................|

    ..................................................+------C2--------+

     

    C1 = 33nF

    C2 = 3200 pF

    индуктивности измерить было нечем, LC-метр "не потянул".

     

    Еще можно здесь почитать, если есть необходимость :)

  14. В Microwave Office есть такая замечательная вещь как "тюнер", ...

     

    Попробовал Microwave Office. Интересная штуковина... В моем случае над было перебрать несколько расчетных вариантов корректоров. На компе вроде бы все было ничего, но при макетировании вылез ряд ньюансов.

     

    Фактическая и расчетная резонансные частоты фазовых контуров 2-го порядка не совпадают. При средней частоте 70МГц, и рабочей полосе 64 ... 76 МГц "пролет" в 2МГц - это слишком. А в общем, пока больше вопросов чем ответов...

  15. Попробуйте промоделировать ваш корректор в любой программе , например Microwave Office или аналогичной.

    Или, как вариант, Filter Solutions Nuhertz. при создании фильтра поставьте галочку Delay Equalyze.

     

    Ув. Олесь!

     

    Результатами моделирования в Microwave Office я в принципе доволен. Но есть ли там возможность варьировать значения элементов очень бы хотелось "покрутить ручки". Я знаком с Microwave Office постольку-поскольку...

     

    то как считает корректор Filter Solutions - для меня как раз и есть основная загадка. В большинстве методик не дается рекомендаций по выбору резонансных частот верхнего и нижнего контуров фазового корректора. А в Filter Solutions эти частоты отличаются. Каков критерий выбора разноса этих частот?

  16. Тракт ПЧ 70 МГц. Эллиптический полосовой фильтр 5-го порядка. по минус 3 дБ полоса 16 МГц, по минус 40 дБ полоса 22 МГц. При таких исходных ГВЗ полосе 64...76МГц набегает на 70нсек. Использую для коррекции фазовую цепь 2-го порядка (одна на 67МГц и вторая на 73МГц):

    ........+------L1------+...........

    ........|....................|...........

    .IN>-+-C1--+-'C1"-+-<OUT.

    ..................|......................

    .................L2.....................

    ..................|......................

    .................C2....................

    ..................|......................

    ...............GND[/b]..............

     

    Формулы "выцарапанные" из справочника по радиорелейной связи и справочника по аналоговым и цифровым корректорам дают результат, мягко говоря, отличающийся от реальности. По ГВЗ врут в три раза, плюс частота фазовой цепи реально получается выше (если рассчитываешь на 68МГц, то получается 70МГц). Можно, конечно, все время давать "поправку на ветер", но хочется знать, есть ли точные методы рассчета.

  17. Потребление процессоров известно из их характеристик.

    1. Потребляемую мощность, заявленную производителем, делю на 12 Вольт, получаю ток потребления. Процессоров два - умножаю на два.

    2. Потребление самой материнской платы, без учета процессоров, неизвестно, но, думаю, не очень большое. Видеокарты нет.

    3. Потребление Рэйд-массива из 4-х винчестеров тоже можно посчитать (на винчестере написано).

    4. Потребление самодельного оборудования (плат и блоков) тоже известно.

     

    Итого, посчитать все это можно, и достаточно точно, но меня сейчас больше интересует принципиальная возможность использования двух блоков питания параллельно.

    Ели идея окажется работоспособной, то необходимую мощность я наберу.

     

    ИМХО, запараллелить ИП можно. При этом, силовая часть обоих ИП должна работать синхронно. Цепи защиты можно не трогать. Запускаться/Выключаться ИП тоже должны отдновременно. НО... Разумным видится применение ИП с требуемыми характеристиками, а не прилепливание горбатого к стенке. Если с ИП что-то пойдет не так, то будет хорошо, когда все остальное просто не запустится. В худшем случае, все придется заменить.

×
×
  • Создать...