Перейти к содержанию
    

maegg

Свой
  • Постов

    121
  • Зарегистрирован

  • Посещение

Весь контент maegg


  1. Ищем инженера схемотехника (в основном цифровая ) Петербург, Московский р-н. Постоянная занятость Понятие о работе с микроконтроллерами и ПЛИС (альтера) Изредка возможны командировки Обучаемость. з.п около 30т.р. предложения [email protected]
  2. Стек ZigBee

    Существует ли под какие нибудь чипы полностью открытая реализация стека ZigBee? Чтоб без бинарных либ. Попробовать покопаться - как это реально?
  3. Генератор не подстраивается к частоте PPS.Поэтому точной частоты не будет, а будет та же частота генератора 10М. простого хорошего решения нет, так-как 10М сигнал скорее всего может использоваться для измерений или внешней синхронизации аппаратуры, а по джиттеру он будет плохой. Обычно ставят термостатированный ГУН и цифровую ФАПЧ с процессором.
  4. Ну и как, получили SLA? А то тут нам сказали, что у Vitesse WebStaX стал стоить 25т$. Бесплатно уж не дают. А так делал проект на VSC7407, жаль ее неожиданно сняли с производства. А так все заработало.
  5. MT-Link V8 сбилась конфигурация по умолчанию: появилась подача питания на целевое устройство. J-LINK Commander выключает эту опцию, но после нового подключения адаптера все на месте. Не нашел - как запомнить конфигурацию. Наверное просто - подскажите!
  6. До 10-12дБ вход G.703 (2048кбит) получается на компараторе. 36 это действительно тяжеловато. Надо учитывать, что по нормам это затухание определено на иммитаторе кабеля, т.е. есть фазо-частотные искажения. По счастью, для АТС и почти везде стоит требование 6дБ. И еще, подумайте, везде уже волокно, к чему ИКМ регенераторы. Правда счетверенка LIU стоит дешевле, чем 8 быстрых компараторов. А еще надо ключи на передачу...
  7. Ну, по Матлабу известная http://matlab.exponenta.ru/ А по ТАУ/САУ русских форумов не нашел. Ситуация у меня противоположная - сменил ТАУ на ПЛИС. :rolleyes:
  8. Похожее решение в дизайн-кит на Maxim DS21448DK Там трансформатор Pulse TX1099. Но одновременно включить все равно нельзя, надо ставить раздельные драйверы. Я с такой задачкой поступил проще: небалансный нужен значительно реже. Сваял пассивный переходник с небольшим затуханием, и отдельно его поставляем. Он неплохо теперь продается и так. Правда пришлось делать на него полный комплект документации. На каждый делаем паспорт.
  9. Мне все равно пришлось прейти к дифференциальным сигналам... Кстати, и трансформатор при этом работать будет лучше. Гальваноразвязки у меня не было, но было 12 каналов. И вот, разница в них была в 5 раз! Цифр не привожу, так как результат уже после обработки. Как не крути разводку, если есть требования к джиттеру, прощеиспользовать дифсигнал.
  10. Хотя не совсем по теме. В общем случае, как везде, восстановление частоты не сложная задача. Все зависит от требуемых характеристик, в основном к блужданию фазы. Обычно определяют 2 параметра МОВИ (максимальное отклонение временного интервала) и ДВИ (девиация временного интервала). В телекоме это рекомендации ITU-T G.811, G.812, G813, ETS 300 462-3 и аналогичные им российские нормы. Можно поискать по теме Тактовая сетевая синхронизация. На ФТП есть Synchronization of Digital Telecammunication Networks Stefano Bregni Задачка по теме интересная. Но без требований или хотя бы области применения трудно понять насколько.
  11. Куплю в Питере Altera BB-MV не самоделку. Красивую коробочку надо, чистенькую. не дороже 500р
  12. Делайте все в ПЛИС, если цена важна. А вообще задача не понятна. Если данные все-таки можно куда-то отправить, то почему скорость передачи меньше скорости приема? Или есть резервный путь? Весь тракт надо разгребать, или один канал? Может нужно использовать фреймер с HDLC контроллером, это вроде и есть буфер.
  13. [Возможно ли в будущем постараться не планировать отключения в последний день месяца?] Ну ты даешь! Делают когда удобно. Спасибо за супекороткий перерыв. А то вчера у меня сердце дрогнуло и всю ночь кошмары снились, не случилось ли чего.
  14. В Altium только начал разбираться. Не понял из доступных описаний есть ли такие возможности, как: 1.Автоматическое создание элемента из описания выводов, взятых после синтеза FPGA, например из Quartus, и с разбивкой по секциям/банкам. 2.Генерация файла с новым размещением выводов для синтеза FPGA в Quartus. Вручную перенос и проверка уж очень тяжко. Подскажите как это делать?
  15. Полно такого и на русском. Например: ИГ Бакланов Технологии измерений первичной сети Ну и ОСТ 45.145–99. А вообще поисковиком в инете наверное
  16. E1 CRC MFAS

    Только спросил, как сам разобрался... Забыл удалить из последовательности для подсчета значения CRC G.704 2.3.3.5.2 Encoding procedure i) The CRC-4 bits in the SMF are replaced by binary 0s. Вот модуль для формирования тестового фрейма с CRC module pcmfrm_crc ( f2m ,rst ,nrzout ); output nrzout ; wire nrzout ; input f2m ; //2048 kHz wire f2m ; input rst ; //global reset wire rst ; reg nrz; reg [7:0] pcm_dc; reg [11:0] slot_count; wire count_set; reg cb; //replace bit P1 in FAS reg cbn; //replace bit P1 in NFAS wire [7:0] fasc; //assemble FAS word wire [7:0] nfasc; //assemble NFAS word reg [3:0] crcreg; //crc register parameter FAS = 8'b00011011; parameter NFAS = 8'b11011111; parameter MFAS = 8'b00001011; parameter IDLE = 8'b11010101; parameter CRCMFAS = 8'b001011_11; wire [7:0] crc_mfas = CRCMFAS; //loadable slot count always @(posedge f2m or negedge rst) if(rst == 1'b0) slot_count <= 'b0; else slot_count <= slot_count + 'b1; always @(slot_count[10:9], crcreg) begin case(slot_count[10:9]) 2'b00: cb = crcreg[3]; 2'b01: cb = crcreg[2]; 2'b10: cb = crcreg[1]; 2'b11: cb = crcreg[0]; endcase end assign fasc = {cb, FAS[6:0]}; //form MFAS CI0 always @(slot_count[11:9],crc_mfas) begin case(slot_count[11:9]) 3'b000: cbn = crc_mfas[7]; 3'b001: cbn = crc_mfas[6]; 3'b010: cbn = crc_mfas[5]; 3'b011: cbn = crc_mfas[4]; 3'b100: cbn = crc_mfas[3]; 3'b101: cbn = crc_mfas[2]; 3'b110: cbn = crc_mfas[1]; 3'b111: cbn = crc_mfas[0]; endcase end assign nfasc = {cbn, NFAS[6:0]}; always @(slot_count, fasc, nfasc) begin if(slot_count[8:3] == 6'b0_00000) pcm_dc = fasc; else if(slot_count[8:3] == 6'b1_00000) pcm_dc = nfasc; else if(slot_count[11:3] == 9'b0000_10000) pcm_dc = MFAS; else pcm_dc = IDLE; end always @(slot_count[2:0], pcm_dc) begin case(slot_count[2:0]) 3'b000: nrz = pcm_dc[7]; 3'b001: nrz = pcm_dc[6]; 3'b010: nrz = pcm_dc[5]; 3'b011: nrz = pcm_dc[4]; 3'b100: nrz = pcm_dc[3]; 3'b101: nrz = pcm_dc[2]; 3'b110: nrz = pcm_dc[1]; 3'b111: nrz = pcm_dc[0]; endcase end assign nrzout = nrz; always @(slot_count) if(slot_count[8:0] == 9'b0_00001_000) cio = 1'b1; else cio = 1'b0; //calculate CRC4 reg [3:0] newcrc; reg [3:0] c; wire crc_cio; wire c_cio; //strobe C bit in frame signal assign crc_cio = (slot_count[10:0] == 11'b111_11111_111); //8 frames assign c_cio = (slot_count[8:0] == 9'b0_00000_000); always @(posedge f2m or negedge rst) if(rst == 1'b0) crcreg <= 'b0; else if (crc_cio == 1'b1) crcreg <= newcrc; always @(posedge f2m or negedge rst) if(rst == 1'b0) c <= 'b0; else if (crc_cio == 1'b1) c <= 'b0; else c <= newcrc; always @(c, nrzout) begin newcrc[0] = (nrz & ~c_cio) ^c[3]; newcrc[1] = (nrz & ~c_cio) ^c[0] ^c[3]; newcrc[2] = c[1]; newcrc[3] = c[2]; end endmodule
  17. E1 CRC MFAS

    Про CRC_MFAS в основном говорится в G.704. Правда пока я сам не стал подписывать CRC к фреймеру все казалось ясно. А тут элементарная вещь не получилась... Может посоветуете Считаю сейчас так: начиная с бита С1 циклового сигнала SMF (субмультифрейм по CRC) последовательно подаю на схему сдвига 8 циклов. После результат переписывается в регистр CRC, сдвиговый регистр обнуляется, а в следующем SMF CRC передается в С битах циклового. Так-вот CRC-MFAS от моего формирователя воспринимается верно, а по CRC сыпятся ошибки. //calculate CRC4 reg [3:0] newcrc; reg [3:0] c; wire crc_cio; assign crc_cio = (slot_count[10:0] == 11'b111_11111_111); //8 frames always @(posedge f2m or negedge rst) if(rst == 1'b0) crcreg <= 'b0; else if (crc_cio == 1'b1) crcreg <= newcrc; always @(posedge f2m or negedge rst) if(rst == 1'b0) c <= 'b0; else if (crc_cio == 1'b1) c <= 'b0; else c <= newcrc; always @(c, nrzout) begin newcrc[0] = nrzout ^c[3]; newcrc[1] = nrzout ^c[0] ^c[3]; newcrc[2] = c[1]; newcrc[3] = c[2]; end То-есть пожоже периодически CRC считается верно.
  18. Открываем порт s2 = serial('COM1','BaudRate',19200,'DataBits',8); set(s2,'FlowControl','hardware'); set(s2,'InputBufferSize',8192); fopen(s2); Пишем fprintf(s2,'ID?') Читаем fscanf(s2) или: v = fread(s2,2500,'uint8'); Не забываем закрывать fclose(s2) delete(s2) clear s2 Пока пишешь и читаешь последовательно, все нормально Но если нужно делать запись и чтение независимо, то у меня появились трудности. По крайней мере на VB это получается значительно легче
  19. По моему, там последовательно бывают феррит-биты, но лучше их бы не применять.Скорее всего, здесь дело не в помехах. В первую очередь, попробуйте сменить кварцевый резонатор на FALC. Посмотрите, соединен ли общий провод с корпусом в Вашем устройстве, и где соединен. Используйте витую пару в экране.
  20. Извините, что в этой же ветке. А N6eval с Q6Sp1 нормально работают? И как заставить чтоб в eval был ucos?
  21. Кто нибудь знает где найти Ethernet коммутатор с питанием от постоянного напряжения -48В и с возможностью монтажа в 19 дюймовую стойку.
  22. Если есть подозрения, что плохо отрабатывается возмущающее воздействие по напряжению проверь это. Ну ЛАТР что-ли возьми. И еще как-то по-моему накручено сделан фильтр по измерению температуры. Может где-нибудь возникает переполнение? И все таки сделать модель на Matlab сделать не помешает. Так-как характеристики объекта регулирования не полные, необходимая точность не приведена. Сложно прикинуть коэффициент усиления замкнутой петли, не говоря о расчете устойчивости. И почему все-таки ПИД? Выброси из алгоритма интеграл и дифференциал. Оставь пропорциональное управление. Посмотри, как ведет себя температура. Нагреватель довольно резвый, может этого и хватит. Если надо повысить точность добавь интеграл. Но осторожно, потеряешь устойчивость или возрастет колебательность, когда на малое воздействие получишь большую ошибку. Еще раз мне кажется максимально упростить схему, и параллельно создать адекватную модель, а затем вытягивать характеристики.
  23. Использовал PLL в Cyclone при питании от DC/DC конвертера (1.5 В) в обоих вариантах: сейчас стоит фильтр из ферритовой бусины и конденсаторов, раньше и без бусины использовал, все стабильно работало, никаких вопросов не было. Плата была 4-слойная, внутренние слои - земля и питание. Что работает, понятно. А вот есть ли оценка уровеня фазового шума в этих условиях? При питании от аналогового стабилизатора с фильтрами размах дрожаний получается не больше 0.1нс. А похоже еще меньше, просто померяно прибором с таким разрешением.
×
×
  • Создать...