Перейти к содержанию
    

semperante

Свой
  • Постов

    91
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о semperante

  • Звание
    Частый гость
    Частый гость

Контакты

  • Сайт
    Array
  • ICQ
    Array

Информация

  • Город
    Array

Посетители профиля

2 608 просмотров профиля
  1. Лаборатория морского университета (СПбГМТУ) занимается исследованием и разработкой электронных устройств в области подводной передачи данных. Мы создаем современные средства голосового общения для дайверов, системы подводного позиционирования и навигации, а также цифровые модемы для использования в составе морских робототехнических комплексов. Рассмотрим как опытного специалиста, так и талантливого выпускника вуза, желающего развиваться в указанной области. Требования: - высшее техническое образование - уверенное знание С/С++ - знание Matlab или Python (numpy) - владение базовыми понятиями ЦОС (фильтрация, свертка, БПФ и т.д.) - умение читать технические тексты на английском языке - способность грамотно излагать свои мысли устно и письменно Будет плюсом: - наличие выполненных проектов (портфолио) - знание алгоритмов цифровой связи (модуляция/демодуляция, синхронизация, оценка и компенсация Доплера, OFDM и т.д.) - опыт разработки под Linux - опыт программирования микроконтроллеров общего назначения или DSP-процессоров - опыт работа с FPGA (язык SystemVerilog) - знание фреймворка Qt Чем предстоит заниматься: - обработка и визуализация экспериментальных данных - реализация алгоритмов обработки сигналов на ПК (Matlab или Python) - перенос алгоритмов на специализированную аппаратную платформу (C или SystemVerilog) - разработка GUI под ПК (Qt) - поиск и анализ научных статей по тематике гидроакустической связи - оформление технической документации и отчетов - участие в натурных испытаниях, возможны редкие командировки Уровень заработной платы: 70-90 тыс. руб. на руки по результатам собеседования + премии по итогам выполненных работ Мы предлагаем: - оформление по ТК РФ, оплачиваемые отпуск и больничные - полностью белая зарплата - гибкий график работы (начало в промежутке 8:00-11:00) - интересная и творческая работа, участие в формировании облика продукта на всех стадиях разработки - возможность обучаться за счет компании - работа в университете, возможность вести научную деятельность и публиковать научные статьи Контакты: [email protected] Территориально находимся около м. Горьковская
  2. Интересно предложение. Отпишите в ЛС, пожалуйста.
  3. Добрый день. ЛС у вас еще не активированы. Я так понимаю, нужно 10 сообщений. Занимаюсь, в том числе, обработкой аудио-сигналов - фильтрация, эквалайзинг, детектирование речи и т.д. На моем гитхабе есть пример драйвера аудио-кодека - с работой, в том числе, через I2S: https://github.com/SemperAnte/audioCodecDriver Если интересно - можем пообщаться, например, через почту: ---
  4. Здравствуйте! Подскажите, пожалуйста, как с вами можно связаться по проекту? Можете отписать на почту: - удалено - ?
  5. Действительно, в запросе топикстартера полный не требовался. Мы в процессе обсуждения переключились немного на другую тему. Тем не менее, ключевые слова "full duplex" часто встречаются в описании коммерческих продуктов различных фирм. Например: https://evologics.de/en/products/acoustics/index.html. То есть, как-то проблему одновременного приема/передачи решают.
  6. Я так понимаю, это будет уже не заявленный полный дуплекс.
  7. Так вы компанию baltrobotics представляете? Это оно? http://www.baltrobotics.com/index.php/prod...cations-channel
  8. Упомянутые характеристики - это, без всякого сомнения, выдающийся результат. Не могли бы вы поделиться подробностями? Какую дальность обеспечивал модем? Была ли доведена работа до реального образца? Есть ли какая-то открытая документация?
  9. Возможно, проект автоматически запускает tcl-скрипт, который генерирует .pof файл. Проверьте .qsf Но опять же, это не повод не генерировать .sof
  10. Когда-то писал модель на SystemVerilog: - вычисление как косинуса/синуса, так и амплитуды/фазы; - выбор последовательной или полностью параллельной архитектуры; - матлаб bit accurate проверочная модель; - автоматическая верификация в Modelsim. https://github.com/SemperAnte/cordic
  11. Сообщите, пожалуйста, ваши контакты.
  12. Хм, а какие есть альтернативы, когда в проекте требуется небольшой процессор?
  13. Ну, вы можете: - автоматически обнулить ram_command после одного или нескольких тактов; - сделать так, чтобы вторая часть выдавала сигнал завершения по-которому ram_command будет сбрасываться; - в конце концов, объединить обе части в один процесс.
  14. Если ваши синтезатор/симулятор поддерживают VHDL-2008, то в отдельном package вы можете объявить unconstrained array: type array_t is array(integer range <>) of std_logic_vector; И в своем модуле объявить порт следующим образом: DATA_IN : in array_t(0 to CHANNELS-1)(DATA_WIDTH-1 downto 0);
  15. Собственно, какую операцию вы хотите упростить? Вы все верно описываете - один раз для конкретной платы прописываются все связи между физическими пинами и именами портов. Это можно сделать либо с помощью текстового редактора, либо с помощью GUI (того же Pin Planner'а). На выходе имеем готовый .qsf файл (может быть другое расширение). В следующем проекте просто выполняется команда "Import assignments ...". Для готовых отладочных плат такой файл обычно подготавливается производителем.
×
×
  • Создать...