Перейти к содержанию
    

Quartus II v13.0 - официальный релиз

В 13.0 не запускается (виснет) мегавизард, причем не на всех компонентах.

Только у меня? Где подкрутить?

 

А подскажет кто нибудь лечение для 13sp1?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 13.0 не запускается (виснет) мегавизард, причем не на всех компонентах.

Только у меня? Где подкрутить?

Попробуйте Java-машину обновить.

Под какой OC работаете?

 

А подскажет кто нибудь лечение для 13sp1?

Пишите в личку.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте Java-машину обновить.

хм.. 12.0 и 12.1 все нравилось...попробую

 

Под какой OC работаете?

Вин7 64

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

хм.. 12.0 и 12.1 все нравилось...попробую

Вин7 64

У меня v13.1 под Win7 x64 - проверил, мегавизард работает, как часы. В v13.0, насколько я помню, тоже работал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто мне объяснит, зачем 13 кактус если с 12,5 - нет проблем?

 

http://www.altera.com/products/software/qu...ts-new.html#key

Key New Features

 

An average of 25 percent faster compilation

Improvements in multicore compilation support

Fitter improvements that unlock the fastest silicon performance in the industry

Higher logic packing capability than our nearest competitor

Production release of the Altera SDK for OpenCL

Enhancements to the Qsys system integration tool, including:

Support for the ARM® TrustZone® technology plus Advanced Peripheral Bus (APBTM) and High-Performance Bus (AHBTM)

Support for VHDL bus functional models (BFMs)

Enhancements to Transceiver Toolkit, including:

Bit error checking for Stratix V FPGAs

Ability to measure and report the data rates of each active transceiver channel

Enhancements to the SignalTapTM II logic analyzer, including:

Ability to change basic trigger operations without recompiling

Cross-triggering from an Altera SoC hard processor system (HPS) event

Programmer Object File (POF) support for most 28 nm devices

Simplified process for upgrading IP cores

Simplified software and device family installation with the new installer

New software notification center that provides convenient web-based compilation status monitoring and notification emails

Изменено пользователем AndrewS6

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поставил 13-тый Q, создал новый проект, назначил чип и в пинпланер.

Чего оно мне наподсвечивало???

post-40106-1378745587_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Quartus 13.1 Customer beta (registration required):

http://software.altera.com/13.1/149/ib/

 

Release Notes:

Internal ACDS 13.1 149 daily build released on Thu 29 Aug 2013.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поставил 13-тый Q, создал новый проект, назначил чип и в пинпланер.

Чего оно мне наподсвечивало???

Не знаю. Разбирайтесь с Вашим проектом и его настройками.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Скачал квартус 13.0.1. Вместе с ним скачивал девайсы ArriaV. С установкой проблем небыло, семейство аррия устанавилась вместе с квартусом. А сегодня решил установить семейство циклон, скачал пакет cyclone-13.0.1.232.qdz. Смотрю - это не фига не экзешник. Ладно, наверно есть какая кнопка в квартусе. Точно, есть, в Tools -> Install Devices. Жмякую, а в ответ тишина, еще жмякую - тишина. То есть вообще ничего не происходит, не запускается.

На другой машине также.

 

В итоге запустил установщик квартуса, он нашел пакет с циклоном, установил.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

чтобы не создавать новой темы - задам тут...

Операционная система Windows 7 SP1 64bit

Поставил не давно Modelsim SE-64 10.1c и QuartusSetup-13.0.1.232

Сделал тестовый проект (проект могу выложить).

Использую нативлинк.

Когда в

D:\Project_hdl\proba\simulation\modelsim

только файл

test_pwm.vhd

RTL симуляция в моделсиме происходит нормально.

Затем закрываю моделсим и заново через квартус запускаю RTL симуляцию то моделсим подвесает. А если почищу папку modelsim(оставив только файл тестбенча - test_pwm.vhd) то моделсим делает RTL симуляцию...

А Gate Level симуляция - просто "зацикливается" моделсим скриншот во вложении

 

Пожалуйста помогите понять в чем причина.

Честно 4 часа "убил" на понимание причины, но так и не понял... Но меня берет любопытство...

 

PS Сейчас использую Modelsim напрямую, без нативлинка...

post-24839-1385246412_thumb.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

неужели не кого такой проблемы не было?

 

мне просто интересно в чем прикол

 

когда в феврале этого года пользовался 12 версией квартуса - таких глюков не замечал...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А Gate Level симуляция - просто "зацикливается" моделсим скриншот во вложении

Библиотеки квартусовские для вашей версии SE не забыли скомпилировать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Библиотеки квартусовские для вашей версии SE не забыли скомпилировать?

я библиотеки перед этим все скомпилировал

путь D:\Lib_sim_Modelsim

Настройки квартуса, где указал путь к скомпилированным библиотекам:

1. More EDA Netlist Writer Settings…(скриншот во вложении)

2. More NativeLink Settings…

 

Настройки для нативлика к тестбенчу прикладываю(скриншот во вложении)...

PS для теста взял свою реализацию деления

в Xilinx ISE 14.5 все прекрасно моделируется...

post-24839-1385479603_thumb.png

post-24839-1385481374_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Особого криминала не вижу, расве что:

 

путь D:\Lib_sim_Modelsim

в настройках (в 2-х местах) квартуса указал на эти библиотеки (один скриншот во вложении)...

Я библиотеки прописываю в ini-файле ModelSim SE. Так он их гарантированно найдет, несмотря ни на какие квартусовские установки :)

 

настройки для нативлика к тестбенчу прикладываю(скриншот во вложении)...
Ну я разве что стараюсь, чтобы Test bench name совпадало с именем Top level module in test bench.

Правда, я не уверен, что дело в этом.

Зашлите мне Ваши файл и тестбенч в почту, я их у себя попробую позапускать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...