Перейти к содержанию
    

Alex77

Участник
  • Постов

    689
  • Зарегистрирован

  • Посещение

  • Победитель дней

    1

Сообщения, опубликованные Alex77


  1. для камня ксайлинкс есть только вивадо. для цыклона - квартус. итд. вопрос "что хочется сравнивать": разные процы для фиксированного проекта+камень (ксайлинкс) или при фиксированном процессоре разные среды разработки (фиксированные проект+камень (альтера и/или альтера)) ?

  2. Вот упоротый гуманитарий...

    Если вы хотите реализовать вашу схему в реальной микросхеме (в ПЛИСе) - то вы просто обязаны преобразовать часть своей схемы (там где используются Z-буфера) в нечто, что реализуется на "функционально полном логическим базисе"

    ПС: с другой стороны: если будете собирать реальный прототип на рассыпухе (155ла3 и еже с ними), то можете использовать Z-буфера (155лн6) и применить паяльник, дабы "в корячить" ваш "магический" резистор.

    ПСПС: не знание законов основ схемотехники не освобождает от их исполнения...

  3. В 04.04.2024 в 22:23, accurate_random сказал:

     https://github.com/logisim-evolution/logisim-evolution
    Кстати так никто не написал про альернативу согласующему резистору. Ну так и ближе к теме

    Ну форкнули исходник - это не говорит что продукт от этого гарантированно лучше стал..

    про альтернативу вам уже говорили - но вы жмурите глаза и затыкаете воском уши.

    Повторяю (наверно в третий раз)

    или вы ждёте когда вам "плюнут жОванной морковкой" (нарисуют и отладят всю схему за вас) ?

  4. Малость оффтоп:

    "Когда у общества нет цветовой дифференциации штанов, то нет цели!" это про "нашёл по цвету ножек в симуляторе."

    и до кучи Logisim уже лет 13 как не обновляется и не исправляется, да и автор в документации ясно говорит что временное моделирование достаточно далеко от реальности...другими словами не совершенно и допускает упрощения...

  5. 1) "в книгах не упоминается вентиль, может он и есть, но по цифровой схемотехнике это называется электронным ключом."

    я однако использовал ВАШЕ определение для логического элемента (электронного ключа)

     

    2) "Кроме того, ни тогда, ни сейчас по предмету конкретной ссылки не было. Помогите лучше себе, чтобы её раздобыть и не быть голословным."

    ну дык "чукча не читатель, чукча писатель"

    не скажу что это настольные книги, но они достойны своего содержания.

  6. 1) Альтернатива "резистору" описана в

    2) даже "обычный вентиль" имеет время задержки распространения сигнала отличное от нуля. Более того это время будет разным в случае переключения с 1 на 0 и с 0 на 1.  И о ужас !!!! эти времянки не совпадают между разными экземплярами одно и тог оже типа "обычный вентиль".

  7. Дык ТС решил что Logisim это эталон в мире моделирования и его (ТС) образования достаточно для нобелевской премии, а все кто с этим не согласен идёт в сад...

  8. да уж... вы начинаете претендовать на роль тролля. успехов...

    ПС: я то выразил свою мысль (по крайней мере пытался), а вы даже не удосужились (токмо "умных" мыслей накидали: тетё мотя, цитаты из фиг знает каких сайтов "колоритная, неуклюжая", про "Санкции " итд итп что не имеет отношения к вашей задаче никакого отношения).

    ПСПС: это не сайт (подраздел) "ардуинщиков" (хотя их методы в некоторых случаях оправдывают себя). "здесь" "обитают" Специалисты , которые получают деньги за свою деятельность (и при том не малые). вам дали достаточно точных и адекватных советов, а вы со своим "уставом" пытаетесь их "учить уму разуму".

    ещё раз успехов в завинчивании шурупов микроскопом.

  9. Ждите дальше

    1)Что в лоб, что по лбу...

    2) "мухи и котлеты" сортируем. у вас на схеме  нарисован "простой буфер с не известным типом выхода" , по ссылке речь идёт об "буфере с управляемым Z-буфером"

    3) повторяю по буквам в современных FPGA НЕТ "буферов с z-состоянием" внутри микросхемы, они есть только на выводах самой микросхемы.

     

  10. 1) в FPGA "буфера" отсутствуют как "класс" (это если исходить из "определения буфер в Logisim"). они оптимизируются (синтезируются) в "цепь" (кусок проводника).

    2) то что сейчас вы нарисовали (буфера с красной линией) тоже попахивает бредом. особенно применительно к FPGA. что в очередной раз рекомендуется изучить основы схемотехники научным способом (а именно чтением теории), а не методом "научного тыка" в Logisim.

    3) если вы не можете выразить свои мысли ясно и чётко - это значит что вы не сильны в том что вы делаете.

    пс: тётя Мотя это ваша родственница ?

  11. В 24.03.2024 в 21:33, accurate_random сказал:

    я разве ещё не писал о возможных дальнейших 101 мерах против использования? Санкции - это только начальные меры. Или вы считаете их благом для россиян? Я не считаю, поэтому считаю начальными мерами.

    Тётя Мотя наверное отличная сказочница, но я точно знаю, что беспочвенное обвинение в агрессии - является прявлением таковой, беспочвенное обвинение в безграмотности - аналогично по критерию.

    Тётя Мотя может дальше вещать свои сказки с гос.департамента, но я прекрасно отделяю мух от котлеты, и каждая муха - будет возвращена отправителю.

     

    А по русски слабо выразить свою мысль ? вообще не понял ничего...

    вам нужен конечный результат ? или вам важен "процесс" достижения результата?

    ПС:Здесь (на форуме) политика не в почёте.

    "за орфографию" вы первыми попеняли... так что ловите ещё " является прявлением таковой "

  12. 1)Что в лоб, что по лбу...

    2)ОБОЖАЮ утверждения "Например выходы памяти на шине данных. Иначе работать-то ничего никогда не будет". вы уж отделяйте мух от котлет.

    3)второе сообщений (в теме) было ответом на вашу "проблему".

    4) изучите ОСНОВЫ электрических цепей (в части цифровой схемотехники) - иначе что воду в ступе толочь

    5) "купить/скачать" - купить это к бухгалтерии (что-то около 4000$), скачать можно на официальном сайте (не скажу что легко и не принуждённо - но можно).

    6) линукс - это АД для производителей ПО (для любых фирм). для того чтобы софт почти гарантированно работал нужно чётко следовать рекомендациям по установке ПО (ну или быть ГУРУ в системе линукс).

    7) "в ящике стола" - это мой ящик, где у вас я не знаю, но существует 101 способ иметь виндовс.

    8 )  для "это когда знаешь в достаточной мере по сземотехнике и FPGA. " смотрите пункт 4.  (про орфографию опять промолчу). FPGA - это частный случай схемотехники.

  13. а при чём здесь "ментор графикс"???

    один из способов - вон в ящике стола лежит вин7 с лицензией и ключами. (ещё есть лицензия на вин3.11, рядом лежит).

    и ещё 100 способов. (поставить линук и линукс версию ISE, ну или в линуксе поднять виртуалку с виндами итд итп)

    про остальные пункты - это "просто лень" читать и выбирать адекватные способы.

    далее "ваше академическое" образование не способствует в ваших метаниях. кажется вам уже рекомендовали изучить основы построения цифровых схем в общем.

    другими словами "просто" соединить  выходы "элемент И вместо диода" - бред сивой кобылы.

  14. Да я "слепой"... но:

    1) "Винда мне не доступна - санкции" - "долго смеялся". есть 101 способ иметь виндовс.

    2) "из-за незватки средств" (промолчу про орфографию) - некоторые версии ПО xilinx  бесплатны (так называемая "web-версия" - или как там ?).

    3) опять повторю истину "академическую" - читайте "книжки" - в инструкции к ISE 14.7  упоминается установка под линук. если не нравится "эти" версии линукса - то вперёд пробуйте другие версии (авось заработает).

    4) "Если подвернётся свободная среда разработки - воспользуюсь. Пока такой нету. Xilinx досвидания." смотрите пункт 2 (к примеру). что есть "свободная среда разработки" с вашей точки зрения я не знаю. практически все производители плис имеют либо только платные версии, либо имеют совсем бесплатные версии. но сами микросхемы по любому стоят каких то денег.

    5) судя по всему вы выбрали неправильное направление движения (способ решения исходной задачи).

  15. "профессор" не ищет простых путей... виндовс приложение (в данном случае) устанавливать в эмулятор виндов под линукс ещё то развлечение... остальные проблемы это следствие из за того что был выбран сей "уникальный способ". Ведь можно открыть и прочитать официальную инструкцию что и как и куда устанавливать. так нет "профессор" не читатель....далее по тексту (С).

     

×
×
  • Создать...