Перейти к содержанию
    

Здравствуйте

 

Кто значет VHDL, не подскажите, а можно ли на VHDL проектировать таблицы сигналов для последующего обращения к ним?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поясните вопрос: Вы говорите о памяти (ОЗУ, ПЗУ), дешифраторе, наборе констант?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поясните вопрос: Вы говорите о памяти (ОЗУ, ПЗУ), дешифраторе, наборе констант?

 

спасибо за поддержку, я имел ввиду набор констант

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

спасибо за поддержку, я имел ввиду набор констант

В зависимости от их количества - это в блочной памяти или набор регистров.

Подробнее опишите задачу...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В зависимости от их количества - это в блочной памяти или набор регистров.

Подробнее опишите задачу...

задача закдючается в следющем:

хочу разместить в ПЛИС некую таблицу констанат, которую бы читала логика ПЛИС, т.е хочу в коде описать группу констант сигналов и дать им значения, и в коде по наимнованию констант далее к ним обращатся

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

задача закдючается в следющем:

хочу разместить в ПЛИС некую таблицу констанат, которую бы читала логика ПЛИС, т.е хочу в коде описать группу констант сигналов и дать им значения, и в коде по наимнованию констант далее к ним обращатся

 

Я в соседней теме линк давал на архив с обучалками. Там в первой части примеры кода на VHDL. Там же есть примеры кода как организовать ПЗУ с константами, заданными прямо в коде. Вот линк http://electronix.ru/redirect.php?http://r...g_pack_2010.rar

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

задача закдючается в следющем:

хочу разместить в ПЛИС некую таблицу констанат, которую бы читала логика ПЛИС, т.е хочу в коде описать группу констант сигналов и дать им значения, и в коде по наимнованию констант далее к ним обращатся

 

есть такая структура в VHDL - называется constant.

Пишете (среди описания сигналов в секции архитектуры):

 

constant bebe : need_type := значение1 ;

constant xexe : type_new := значение2 ;

 

и пульзуетесь этими константами в коде словно сигналами, имеющими нужное значение.

 

Если надо, чтобы константы виделись во многих файлах - записывайте их в VHDL-пакет и подключайте его к нужным исходникам в самом начале.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...