Перейти к содержанию
    

vhdl and black-box

Знающие люди подскажите пожалуйста как к файлу.vhd прикрутить список цепей(т.е black-box)

и возможно ли это? Всем спасибо за внимание:).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Знающие люди подскажите пожалуйста как к файлу.vhd прикрутить список цепей(т.е black-box)

и возможно ли это?

Вы разберитесь, что вы хотите: блэкбокс или список цепей. Я не совсем понимаю, что имеется в виду под вторым.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

да и раздел "Instanse component" в стандарте не плохо было бы прочитать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мои познания в этой области не велеки.Так что буду признателен если обьясните чем black-box отличается от списка цепей.

Да кстате может мы друг друга не так поняли.Вообщем под списком цепей( он же black-box) я подразумеваю файлы (*.ngc , *.ngo , *.edn) которые получаются уже после синтеза.

 

 

да и раздел "Instanse component" в стандарте не плохо было бы прочитать.

А ссылку на стандарт можно?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мои познания в этой области не велеки.

В этом ничего страшного нет. Все мы когда-то такими были. Только в этом случае лучше сразу честно об этом сказать, а также простыми словами оъяснить, что, собсно, надо, а не выражовываться словами, которые другой человек может понять иначе, нежели вы.

 

Так что буду признателен если обьясните чем black-box отличается от списка цепей.

 

Да кстате может мы друг друга не так поняли.Вообщем под списком цепей( он же black-box) я подразумеваю файлы (*.ngc , *.ngo , *.edn) которые получаются уже после синтеза.

Black-box --- это объект, содержимое которого на этапе синтеза неизвестно. Известен лишь его интерфейс. В VHDL-коде оно должен быть описан как компонент. Начинка этого компонента имеет другой формат, тот самый, который ngc , ngo , edn. Синтезатор про эти файлы ничего не знает. Подстыковывание их происходит после синтеза всей микросхемы на этапе сборки (ngdbuild). У ndgbuild есть опция, в которой указывается, где искать блэкбоксы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А ссылку на стандарт можно?

 

http://servis-ins.narod.ru/tmp/vhdl/vhdl.html

есть немного на руском

 

Желаю удачи

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

-- Вот, случайно под рукой оказался подобный код...

 

component Comp_Name

port(

 

... описание портов данного компонента ...

 

);

end component;

 

attribute BOX_TYPE: string;

attribute BOX_TYPE of Comp_Name: component is "BLACK_BOX";

 

 

-- Comp_Name.ngc находится в папке проекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте. У меня вопрос: Пишу проект на VHDL в среде WebPACK (XIlinx).

Есть проблема - нужно сделать вывод устройства типа inout . Я делаю - но теперь могу только изменить значение этого вывода из программы (т.е по функциональности он аналогичен выводу типа out)- а вручную ввожу данные на диаграмме для этого вывода , запускаю Simulink - и - О ЧЕРТ!!!! - диаграмма для этого вывода UNDEFINED (буква U , и вместо сигнала такая красная полоса)- как мне научится пользоваться выводом типа inout для ввода сигнала извне (вручную по диаграмме)?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

как мне научится пользоваться выводом типа inout для ввода сигнала извне (вручную по диаграмме)?

 

Никак, учитесь писать тестбенчи на ХДЛ

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

как мне научится пользоваться выводом типа inout для ввода сигнала извне (вручную по диаграмме)?

 

Никак, учитесь писать тестбенчи на ХДЛ

 

Нет, все-таки можно. Естественно, что используя ХДЛ проще работать с тестбенчем, но можно и здесь тоже чего нибудь придумать.

Делаем следующим образом. Этому inout сигналу на участке, когда это вход присваиваем какое-либо значение. А на участке, когда этот сигнал выход - z-состояние. Тоже самое можно и на ХДЛ сделать, не только на диаграмме.

Вроде бы так, давно это уже было.

Должно работать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нет, все-таки можно. Естественно, что используя ХДЛ проще работать с тестбенчем, но можно и здесь тоже чего нибудь придумать.

Делаем следующим образом. Этому inout сигналу на участке, когда это вход присваиваем какое-либо значение. А на участке, когда этот сигнал выход - z-состояние. Тоже самое можно и на ХДЛ сделать, не только на диаграмме.

Вроде бы так, давно это уже было.

Должно работать.

 

Хмм похоже либо я либо вы что то непоняли :)

ИМХО человеку нужно задавать состояния сигнала типа inout из Вейвформы, а не описать сигнал типа Inout в модуле :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...