Перейти к содержанию
    

Active-HDL 8.3sp1

Пару недель занимаюсь изучением Active-HDL+Verilog, поэтому если вдруг вопросы окажутся банальными, извиняйте =)

 

Вопрос вот в чём, написал простенький код:

f5531d65c864t.jpg

При его симуляции задаю gen1 и gen2 входные частоты (10 и 7 Мгц), добавляю их в WaveForm и получаем:

87407e27f255t.jpg

Если в тексте программы убрать комментарий assign... то имеем:

08886524fe67t.jpg

Как видно из Stimulators gen1 и gen2 назначен тип сигнала Clock но галочки на против них не поставились и как следствие ошибка ... почему так получается? Если опять закомментировать assign... то сигналы назначаются нармально и симуляция проходит без осложнений. Вместо assign... пробовал вписывать другой код но результат тот же ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Посмотрите вот это:

http://kit-e.ru/articles/circuit/2009_03_134.php

 

Возмите любой пример из пакета(лежит в папке где куда устанавливается Aldec) и используя прочитанное ранее отмоделируйте его.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Случайно наткнулся на решение проблемы

http://support.aldec.com/knowledgebase/Art...htm&print=1

 

Не совсем ещё разобрался что это и зачем нужно и когда использовать, да и с командной строкой ещё не разбирался но мне помогло, после вода команды

 

asim +access +w_nets sample //где sample это название моего проекта (Top-Level)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

есть еще вопрос:

как подключить ise 12.3 к Active-hdl 8.3 SP1

в дизайн флоу есть только 12.2

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

как подключить ise 12.3 к Active-hdl 8.3 SP1

в дизайн флоу есть только 12.2

Просто в Настройках укажите путь к ise.exe и xst.exe. для версии 12.2.

принципиально это просто номер версии. ключи запуска синтезаторов не изменились и все будет замечательно работать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...