Перейти к содержанию
    

Кто работал в Active-HDL 8.1, скажите что там за дурость с сохранением времянок.

Если после моделирования сохранить времянку, а потом ее заново открыть, то уже невозможно

добавить к ней какой-либо новый сигнал.

Выдает предупреждение о том, что мой UUT не содержит добавляемый сигнал.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я работаю в 8.2, вроде не замечал никаких проблем с этим. Но есть другие. С теми же вейвформами. Поэтому планирую перейти на 8.3, уже скачал из закромов. Но к нему нужен свежий ISE, в нём загвоздка.

Так что Вам советую перейти на более свежую версию, авось всё исправится :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я работаю в 8.2, вроде не замечал никаких проблем с этим. Но есть другие. С теми же вейвформами. Поэтому планирую перейти на 8.3, уже скачал из закромов. Но к нему нужен свежий ISE, в нём загвоздка.

Так что Вам советую перейти на более свежую версию, авось всё исправится :)

С закромами какие-то проблемы, ошибка 503 уже которую неделю :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проблема решается просто. Поменяйте пароль на фтп. При вводе нового пароля можете ввести старый.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Что за ошибка? Какой текст? Там пароль нужно заново задать, полазьте в ветке "служебное".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я работаю в 8.2, вроде не замечал никаких проблем с этим. Но есть другие. С теми же вейвформами. Поэтому планирую перейти на 8.3, уже скачал из закромов. Но к нему нужен свежий ISE, в нём загвоздка.

Так что Вам советую перейти на более свежую версию, авось всё исправится :)

В закрома пробился, но 8.3 там не видно.

Перенесли что-ли из папки Aldec?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В закрома пробился, но 8.3 там не видно.

Перенесли что-ли из папки Aldec?

см. в аплоад-фпга и так далее

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Лучше Моделсим испольщывать вместо Актив-ХДЛа, менее глючный. Я в этом году перешол и не жалуюсь.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а в актив-хдл на что жаловались? Я и в актив-хдл особо не жалуюсь... Пока... :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто работал в Active-HDL 8.1, скажите что там за дурость с сохранением времянок.

Если после моделирования сохранить времянку, а потом ее заново открыть, то уже невозможно

добавить к ней какой-либо новый сигнал.

Выдает предупреждение о том, что мой UUT не содержит добавляемый сигнал.

До сих пор юзаю 7.3 ибо для текущих задач хватает. В 7.3 появились accelerated waveform, которые вели себя неадекватно и с похожими симптомами. Приходится при создании воркспэйса копаться в настройках и переключать с "accelerated waveform" на "standard waveform". Возможно вам тоже поможет?

P.S.: возможно я не понял как их готовить :laughing: . Если кто знает что к чему - подскажите.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

До сих пор юзаю 7.3 ибо для текущих задач хватает. В 7.3 появились accelerated waveform, которые вели себя неадекватно и с похожими симптомами. Приходится при создании воркспэйса копаться в настройках и переключать с "accelerated waveform" на "standard waveform". Возможно вам тоже поможет?

P.S.: возможно я не понял как их готовить :laughing: . Если кто знает что к чему - подскажите.

Да как редактор кода и стимулятор меня устраивает и 7.1, но вот SV он не поддерживает к сожалению.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а в актив-хдл на что жаловались? Я и в актив-хдл особо не жалуюсь... Пока... :)

 

Посдкажите, пожалуйста, как в acselerated waveform сделать так чтобы - один раз настроить необходимые сигналы, там представление , аналоговое цифровое, расположение по списку, выкинуть ненужные сигналы, потом сохраняю, и если потом открываю новую симуляцию, и использую сохранненный accelrd waveform - он не хочет подключать сохраненный к новой симуляции,

приходится создавать новый acseler waveform, и настраивать все заново (что достаточно долго - когда сигналов 50-100 :) ).

 

Как решить такую проблему - чтобы один раз настроить accelerated wavwform - и дальше пользоваться этой настроенной формой в новых симуляциях?

Изменено пользователем #_Alec_#

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Посдкажите, пожалуйста, как в acselerated waveform сделать так чтобы - один раз настроить необходимые сигналы, там представление , аналоговое цифровое, расположение по списку, выкинуть ненужные сигналы, потом сохраняю, и если потом открываю новую симуляцию, и использую сохранненный accelrd waveform - он не хочет подключать сохраненный к новой симуляции,

приходится создавать новый acseler waveform, и настраивать все заново (что достаточно долго - когда сигналов 50-100 :) ).

 

Как решить такую проблему - чтобы один раз настроить accelerated wavwform - и дальше пользоваться этой настроенной формой в новых симуляциях?

 

Save Format из меню ведь создает wave.do который просто текстовый и хранит конфигурации wave ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Save Format из меню ведь создает wave.do который просто текстовый и хранит конфигурации wave ...

В этом файле почти ничего нет - там только хранятся имена сигналов самого верхенего модуля тестбенча.

 

Переформулирую вопрос:

При моделировании Active-HDL предлагает сохранить полученные waveforms в файлы

des_2010-11-12.awc и des_2010-11-12.asdb

 

я их сохраняю - потом если заново открываю проект (или просто останавливаю и инициализирую simulation), я открываю сохранненые

des_2010-11-12.awc и des_2010-11-12.asdb

 

то новая симуляция - не подключается к ним, и графики не обновляются, хотя симуляция проходит -> в окне Console отображается ход выполенния.

Приходится создавать новый waveform и снова ручками скидывать туда требуемые сигналы (включая и сигналы из подмодулей), настраивать их отображение и представление (аналоговое цифровое signed unsigned.....).

 

Так можно ли вообще сохраненные waveforms потом подключать к новому моделированию? чтобы заново не настраивать сигналы?

 

Может кто знает - в ModelSim - можно так делать как я хочу?

 

Прим:

1. Проект и тестбенч один и тот же, я просто перезапускаю AldecHDL.

2. Версия Active-HDL 8.1. ps2

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...