Перейти к содержанию
    

Xilinx Coregen, сгенерировать ngc из vhdl-файла

Насколько я понимаю, coregen при синтезе ядра создает файл с настройками (xgo), интерфейс для включения в проект (vhd), сам бинарник (ngc). Получилось так, что xgo-файл я потерял, зато остался vhdl-код с настройками ядра через generic'и. Можно ли, имея только vhdl-файл, восстановить все ядро?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно ли, имея только vhdl-файл, восстановить все ядро?

Сильно сомневаюсь. А что вы подразумеваете восстановить все ядро? Если NGC у вас остался - то больше ничего и не нужно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

остался vhdl-код с настройками ядра через generic'и
Я все ядра синтезирую xst именно из таких исходников без использования Coregen. Волшебные слова -- это атрибут generator_default и его значение. В аттаче файл из боевого проекта.

rx_mcbsp_fifo.vhd

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я все ядра синтезирую xst именно из таких исходников без использования Coregen. Волшебные слова -- это атрибут generator_default и его значение. В аттаче файл из боевого проекта.

 

Хм... интересно....

Этот финт где-то описан или сами "нащупали"?

Особенно интересует, как выйти на строки типа "generatecore com.xilinx.ip.fifo_generator_v2_0.fifo_generator_v2_0"...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Этот финт где-то описан или сами "нащупали"?
Чесгря, не помню уже. На форуме было: http://electronix.ru/forum/index.php?showtopic=25860, так что первооткрываетль не я.

 

Особенно интересует, как выйти на строки типа "generatecore com.xilinx.ip.fifo_generator_v2_0.fifo_generator_v2_0"...
Это путь относительно $XILINX/coregen/ip/xilinx/*/, например, $XILINX/coregen/ip/xilinx/primary/com/xilinx/ip/fifo_generator_v2_0.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я все ядра синтезирую xst именно из таких исходников без использования Coregen. Волшебные слова -- это атрибут generator_default и его значение. В аттаче файл из боевого проекта.

Забавно. Волшебная штука :)

Взял на вооружение

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поднимаю старую тему, чтобы не описывать ещё раз.

Вопрос такой:

А в Vivado есть подобная фишка?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо за информацию про атрибут generator_default, с его помощью можно успешно изменять параметры ядер "на лету" без пересборки в coregen'е.

Но данный атрибут работает только в синтезаторе XST, возможно кто-нибудь нашёл способ как реализовать аналогичный функционал в синтезаторах Synopsys Synplify или MG Precision? Пока вижу единственный вариант - это добавить все библиотеки ядер вместе с их зависимостями в исходный проект, а это несколько десятков файлов и библиотек, может быть есть более элегантные варианты решения?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А в Vivado есть подобная фишка?
А Вы попробуйте, потом тут отпишитесь

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...