Перейти к содержанию
    

2 Koluchiy

так квартус кажись

a%21

понимает

Он там своими силами его реализует, правда не быстро :laughing:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так дело не пойдет. У Вас пытаются узнать необходимую информацию, чтобы дать более содержательный ответ, а Вы что-то скрываете... :1111493779:

 

Зачем спрашивать, если Вы не прислушиваетесь к ответам?

 

У меня пытаются узнать информацию, которая совсем не нужна для решения вопроса о написании комбинационной функции :).

Такая информация нужна, чтобы направить дискуссию в русло "а не сделать ли тебе ее в 10 тактов...", как тут уже пытались отдельные товарищи...

 

ЗЫ Вы случайно не студент какого-то ВУЗА и это необходимо в рамках курсовой или диплома?

 

Совершенно случайно, я не студент какого-то ВУЗА...

P.S. Это тоже вопрос, необходимый для того, чтобы дать более содержательный ответ на вопрос об описании комбинационной функции? :)

 

Вы иожете понять , что любой цикл должен тактироватья , иначе получится абра-кадабра

 

Посмотрите сообщение №5 темы, с циклом for... Он тоже должен тактироваться? :)

 

2 Koluchiy

так квартус кажись

a%21

понимает

Он там своими силами его реализует, правда не быстро :laughing:

 

Спасибо! Но вот ISE 9.2 % понимает, только если the second operand is a power of 2 :).

Изменено пользователем Koluchiy

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня пытаются узнать информацию, которая совсем не нужна для решения вопроса о написании комбинационной функции :).

Такая информация нужна, чтобы направить дискуссию в русло "а не сделать ли тебе ее в 10 тактов...", как тут уже пытались отдельные товарищи...

 

 

 

Совершенно случайно, я не студент какого-то ВУЗА...

P.S. Это тоже вопрос, необходимый для того, чтобы дать более содержательный ответ на вопрос об описании комбинационной функции? :)

 

 

 

Посмотрите сообщение №5 темы, с циклом for... Он тоже должен тактироваться? :)

 

 

 

Спасибо! Но вот ISE 9.2 % понимает, только если the second operand is a power of 2 :).

Мой совет почитайте мат. часть - литературу можно найти в топике данной ветки форума. Судя по вопросам и ответам - Вы далеки от проектировании на ПЛИС (мое мнение)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 Koluchiy

а вам обязательно XST нужен как синтезатор ?

(это я к тому что может проще сменить синтезер, XST и так довольно глюкавый, а тут есчё под него подстраиваться)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мой совет почитайте мат. часть - литературу можно найти в топике данной ветки форума.

 

Спасибо за совет! Куда уж мы без литературы... :rolleyes:

 

Судя по вопросам и ответам - Вы далеки от проектировании на ПЛИС (мое мнение)

 

Безусловно, до Вас мне далеко :).

 

а вам обязательно XST нужен как синтезатор ?

(это я к тому что может проще сменить синтезер, XST и так довольно глюкавый, а тут есчё под него подстраиваться)

 

Да придется рано или поздно... Пока XST - не самое глюкавое, что у меня тут есть :).

 

P.S. Промежуточное итого по случаю окончания рабочей недели :).

2 человека, дающие советы по теме, и куча народа, аргументированно доказывающая, что я студент :).

Нормальный русский форум, чо :). Всё по фольклору...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 человека, дающие советы по теме, и куча народа, аргументированно доказывающая, что я студент :).

Нормальный русский форум, чо :). Всё по фольклору...

 

А что помешало Вам реализовать пример в сообщении 5

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вы иожете понять , что любой цикл должен тактироватья , иначе получится абра-кадабра

попробуйте осуществить свою идею на обычной комбинационной россыпи

рекомендую вам осознать разницу между программированием и описанием железа, тогда придет понимание про циклы и т.д. %)

 

 

 

Тем не менее, если кто-нибудь подскажет, как при помощи цикла (любого) описать искомую функцию так, чтобы это соответствовало Verilog-2001, буду очень благодарен :).

Таки неужели не смогли найти это в стандарте ? Или не смотрели ? Тогда следующая подсказка IEEE Std 1364-2001 -> где то в районе главы 11. Disabling of named blocks and tasks

 

ЗЫ. Вы даже до такого тела цикла не смогли догадаться ? :)

  if (temp >= 21) begin 
    temp -= 21; 
    out++;   
  end

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для синтеза можно было писать так

always @(*)
begin

temp = in;
out = 0;
for (int i = 0; i < 4; i++) begin 
  if (temp < 21) break;
  temp -= 21; 
  out++;
end 
out += temp;

end

А вот этот код - break, out++ и out += temp; - это ведь не verilog?

На чистый Си похоже.

 

Может, SV?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

он и есть

Спасибо.

Я только начал изучение ПЛИС и HDL языков.

 

А какие синтезаторы встроены в Xilinx ISE? На форуме мелькают названия Synopsis, Sinplify и т.д. :rolleyes:

Какой инструмент нужно использовать, чтобы синтезировать не только с Verilog, но и с SystemVerilog?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А какие синтезаторы встроены в Xilinx ISE? На форуме мелькают названия Synopsis, Sinplify и т.д. :rolleyes:

Какой инструмент нужно использовать, чтобы синтезировать не только с Verilog, но и с SystemVerilog?

ситуация следующая. созданием синтезаторов занимаются как производители ПЛИС, так и сторонние производители САПР. Синтезатор от Xilinx называется XST (кажись), конструкции SV он не поддерживает. Альтера производит Quartus для синтеза для своих кристаллов - поддержка SV присутствует. К сторонним производителям САПР относятся MentorGraphics (Precision) и Synopsys (Simplify). Оба поддерживают СВ на хорошем уровне. Раньше Sym(n)plify принадлежал компании Sym(n)plicity, а Synopsys выпускал синтезатор под своим названием, но Симплисити была поглощена Синопсисом и теперь сводный продукт выходит под маркой Симплифай.

За далнейшими комментариями по синтезаторам обращайтесь на 2 ветки выше в форум "Среды разработки на ПЛИС"

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

К сторонним производителям САПР относятся MentorGraphics (Precision) и Synopsys (Simplify). Оба поддерживают СВ на хорошем уровне.

Ага, на хорошем. Только Precision покруче будет. Мои проекты в нём собираются без вопросов, а Synopsys почему-то нервничает и отходит в сторонку покурить.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...