Перейти к содержанию
    

Тактовый сигнал в Spartan-2 Xilinx

Подскажите, все время работал только с альтерой, тут пришлось начать работать с xilinx-ом.... Так вот написал описание проекта на верилоге (в ISE 9.2i), стал назначать выводы. Мне необходимо чтоб тактовый сигнал был подведен к обычно пину ввода/вывода, а не к глобальному GCLK. но при компиляции выдает ошибку ERROR:MapLib:93 - Illegal LOC on IPAD symbol "clk" or BUFGP symbol "clk_BUFGP".

Т.е. как я понял я не могу тактовый сигнал подводить куда угодно, кроме глобальных GCLK, GCK.... Или что-то на настроить?

 

P.S. используемый кристалл - Spartan-2 xc2s200-5Qpq208

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите, все время работал только с альтерой, тут пришлось начать работать с xilinx-ом.... Так вот написал описание проекта на верилоге (в ISE 9.2i), стал назначать выводы. Мне необходимо чтоб тактовый сигнал был подведен к обычно пину ввода/вывода, а не к глобальному GCLK. но при компиляции выдает ошибку ERROR:MapLib:93 - Illegal LOC on IPAD symbol "clk" or BUFGP symbol "clk_BUFGP".

Т.е. как я понял я не могу тактовый сигнал подводить куда угодно, кроме глобальных GCLK, GCK.... Или что-то на настроить?

 

P.S. используемый кристалл - Spartan-2 xc2s200-5Qpq208

Точно не знаю как в Спартане 2, это про Виртексы. Но должно быть похоже ;)

Если кратко - выводить можно куда хочется. Только для этого не нужно BUFG вставлять.

Схема обычно такая: Входной пин(специальный клоковый) -> IBUFG (IBUFGDS) -> BUFG ( или DCM -> BUFG ) -> Логика и выходы.

У вас же, видимо, на _выходе_ стоит примитив IBUFG, который на выходе стоять не должен.

Вводить на этот BUFG можно и с внутренних линий, но тогда не гарантируется фаза полученной тактовой относительно исходной.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Точно не знаю как в Спартане 2, это про Виртексы. Но должно быть похоже ;)

Если кратко - выводить можно куда хочется. Только для этого не нужно BUFG вставлять.

Схема обычно такая: Входной пин(специальный клоковый) -> IBUFG (IBUFGDS) -> BUFG ( или DCM -> BUFG ) -> Логика и выходы.

У вас же, видимо, на _выходе_ стоит примитив IBUFG, который на выходе стоять не должен.

Вводить на этот BUFG можно и с внутренних линий, но тогда не гарантируется фаза полученной тактовой относительно исходной.

 

Я имел ввиду вход clock - подавать не на специальный клоковый, а на обычный пин - или я вас не правильно понял? :unsure:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Короче, после IBUF, на который Вы подаете клок, поставьте BUFG, а с его выхода используйте ваш клок внутри кристалла.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Теперь ясно, что по вход :)

Да, именно так.

Просто примитив IBUF - на обычных пинах, а IBUFG на специальных.

Т.е. в вашем случае - IBUF -> BUFG -> всё остальное.

В случае если клок подведен на специальный вход:

IBUFG -> BUFG -> всё остальное.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...