Перейти к содержанию
    

Для PLL самое поганое - это "иголки", их как раз эффективно гасит бусина.

если импульсник порядка нескольких МГц - то давит, но только с кондерами. сама бусина дает развязку только начиная с десятков МГц. а если импульсник, скажем, кГц 200-300 (у меня такой) - то фига она давит. если, конечно, клок для какой-нибудь PCI, то может и сойдет, а вот для АЦП - все придется вешать, и линейники, и кондеры большие, и дросселя. но на FPGA-шной PLL такое делать, конечно, нельзя.

 

Поэтому применение такого bulk кондюка давит все эти резонансы и смещает резонанс в существенно НЧ область (единицы кГц), а достаточное большое ESR таких кондесаторов (даже если они называются LOW ESR) вносит потери в контур и давит его добротность.

вот смещения резонанса вниз я там на графике не увидел, только добротность снизили.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Люди добрые, а объясните, пожалуйста, как так получается: на сайте DigiKey этот TAJC477K004RNJ, он типа B, предлагают, в efind.ru тоже, а в datasheet http://www.avx.com/docs/catalogs/taj.pdf его нет?

Кто-нибудь держал его в руках?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Люди добрые, а объясните, пожалуйста, как так получается: на сайте DigiKey этот TAJC477K004RNJ, он типа B, предлагают, в efind.ru тоже, а в datasheet http://www.avx.com/docs/catalogs/taj.pdf его нет?

Кто-нибудь держал его в руках?

 

На DigiKey даже фоточка есть. Значит держали :biggrin:. Плюс энное количество экзмепляров на складе :). Может у них станочик есть специализированный и они прессуют чипы D в чипы B :a14:

 

А вообще не вижу смысла заморачиваться с FPGAшным синтезатором. Джиттер у него большой, как ни крути он только для цифры и пойдёт. Сколько потребляют в динамике синтезаторы на циклоне 3 в документации не отражено. Максимум что там написано, это пульсации в 20 мВ для питания цифровой части синтезатора и ток потребления в статике. Но вот ведь вопрос, какой ток нужен в динамике :07: ? Можно было бы рискнуть заменить этот ChipD на линейный стабилизатор с емкостями меньшего номинала. Будет больше возможностей покуролесить с компоновкой. Но могут возникнуть проблемы типа на ядро питание уже пришло, а на синтезаторы ещё нет. Хотя это уже больше к сказочным мифам ))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хм... хорошо, пусть 12.7 мкГн на частоте 1МГц, принимая экспоненциальную форму зависимости индуктивности от частоты, на частоте в 10кГц(частоту выше брать имхо с такими емкостями абсурдно) получим индуктивность в районе 0.9мкГн, тогда резонансная частота контура окажется в районе 200КГц где танталы в принципе не работают. Взяв осциллограф в руки можно убедиться в бессмысленности затеи.

С чего вы взяли, что зависимость индуктивности там экспоненциальная? Взял живую бусину и измерил ее индуктивность (как раз на 10 кГц прибор измеряет) - 9.4 мкГн. Это RLC пинцет. Взял тестер, там получилось 15 мкГн, но у него нижний предел 2 мГн, т.ч. тут, видимо, еще с точностью проблемы, но этот дивайс измеряет на частоте 1 кГц и опять не видно зависимости, какую вы приводите. Думаю, что ни мегагерце она такая же, а 12.7 я получил в виду неточного определения индуктивной составляющей импеданса по графику (ну, и разброс значений тоже никто не отменял - процентов 10-15 там только так может быть).

 

Керамику 10мкФ в паре с бусиной еще понять можно, но тантал в 470.... как то не укладывается

Предложите свой способ устранить влияние паразитных резонансов в PDN?

 

если импульсник порядка нескольких МГц - то давит, но только с кондерами. сама бусина дает развязку только начиная с десятков МГц. а если импульсник, скажем, кГц 200-300 (у меня такой) - то фига она давит.

Дело не только и не столько в источнике импульсном, сколько в том, что на самом питании от работы быстрой логики (особенно, когда ее много и она вся шустро щелкает) могут появляться такие вот короткие "иголки", которые по плейну очень хорошо распространяются ввиду его низкой индуктивности.

 

вот смещения резонанса вниз я там на графике не увидел, только добротность снизили.

Оно там просто не показано, показано лишь, что антирезонансный пик в импедансе поддавлен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Предложите свой способ устранить влияние паразитных резонансов в PDN?

Это не подойдет: Синфазный EMI дроссель 0805?

Если, конечно, у PLL есть отдельная земля..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вообще не вижу смысла заморачиваться с FPGAшным синтезатором. Джиттер у него большой, как ни крути он только для цифры и пойдёт.

Причем тут джиттер вообще? Если вам надо АЦП тактировать с малым джиттером, так это из другой оперы. В FPGA PLL нужен для генерации клоков управления логикой, и внешний генератор совсем не заменяет по функциональности встроенный. Например, мне надо два клока - 100 МГц и 200 МГц, причем второй сдвинут относительно первого на четверть периода (это реально, не придумано). Как это получить без использования внутренней PLL?

 

Это не подойдет: Синфазный EMI дроссель 0805?

Если, конечно, у PLL есть отдельная земля..

Земли отдельной нет. Если бы она была, то можно было бы просто вообще сделать полностью отдельное питание PLL и не париться с этими фильтрами. Да, оно и логично, что земля PLL и логики должна быть общей, раз они тесно связаны электрически.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Причем тут джиттер вообще? Если вам надо АЦП тактировать с малым джиттером, так это из другой оперы. В FPGA PLL нужен для генерации клоков управления логикой, и внешний генератор совсем не заменяет по функциональности встроенный. Например, мне надо два клока - 100 МГц и 200 МГц, причем второй сдвинут относительно первого на четверть периода (это реально, не придумано). Как это получить без использования внутренней PLL?

 

Для чего нужен PLL в FPGA мне известно. Джиттер тут при том, что если что-то где-то плохо сделано, то можно запросто получить метосостояние и потом искать крайних.

 

ИМХО все эти танцы с бубном черезмерны. У меня щас плата на циклоне 2 лежит на столе (TQFP144 на 4х слоях), и там вот это вот цифровое питание синтезатора посажено туда же где и напряжение питания ядра. Через бусину и парочку конденсаторов известного номинала отфильтровано питание только для аналоговой части. Если на заработет или будет глюкать, отпишусь ;) Но меня терзают смутные сомнения, что не заработает. По привычке не делаю полигон питания PLL. Альтеровцы и сами писали в доках на первые циклоны, что можно и непосредственно цеплять всё это хозяйство к цифре. И с одной стороны они правы. Дополнительный выводы питания сделаны для того, чтобы по ним не бежали разного рода левые токи. У полигона питания индуктивность существенно ниже и тут же развязка. Да, может быть крайний случай когда надо делить полигоны, но это только в том случае, если собственно полигон питания цифры очень сильно зашумлён и звенит так, что не дай бог каждому.

 

На данный момент предпочитаю на аналоговое питание PLL вешать конденсаторы и бусину без всяких планов. 100 пФ с высокой добротностью для двух трех ножек питания я всегда могу поставить в одном месте и для этого мне не нужен план. Непосредственно рядом с TQFP или под BGA. И туда же бусинку. Один синтезатор - один фильтр питания из конденсаторов и бусинки.

 

Но это всё потому что проекты мои не на гонку по частотке. Когда тактовая у дерева тактовой частоты будет на пределе ... те же 500 МГц, то тут джиттер в 50 пс типичный для циклонов может сыграть очень злую шутку. А на небольших проектах с невысокой частоткой (100 МГц) можно вполне ограничиться несложными вот такими способами.

 

И судья мне будет глюк моего железёнка :biggrin:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1) С чего вы взяли, что зависимость индуктивности там экспоненциальная? Взял живую бусину и измерил ее индуктивность (как раз на 10 кГц прибор измеряет) - 9.4 мкГн. Это RLC пинцет. Взял тестер, там получилось 15 мкГн, но у него нижний предел 2 мГн, т.ч. тут, видимо, еще с точностью проблемы, но этот дивайс измеряет на частоте 1 кГц и опять не видно зависимости, какую вы приводите. Думаю, что ни мегагерце она такая же, а 12.7 я получил в виду неточного определения индуктивной составляющей импеданса по графику (ну, и разброс значений тоже никто не отменял - процентов 10-15 там только так может быть).

2) Предложите свой способ устранить влияние паразитных резонансов в PDN?

1) Предположил, что там экспонента, согласен, что неудачно. Измерил Z мостом Е7-20 то что нашлось под рукой: BLM18BD601SN1 на 1МГц => 589 Ом на 10КГц =>40 Ом.

2) Можно попробовать снизить добротность контура с помошью введения чистой резистивной нагрузки, старое избитое забытое.... Второй вариант, при малых токах потребления вводить вместо индуктивности резистор небольшого номинала. С другой стороны, ну откуда там возникнут паразитные контура на таких мизерных токах потребления PLL, повторюсь для CycloneII Altera ничего подобного не рисовала, что такого здесь они натворили, чтобы лепить подобное???

 

Если не заработет или будет глюкать, отпишусь ?

Думаю не будет, пользую П образный фильтр 0.1мкФ => BLM => 0.1мкФ уже давно и во многих проектах, проблем нет. В особо критичных местах, где важен джиттер перестраховываюсь и в фильтре вместо 0.1мкФ использую керамику 10мкФ, в одном месте использовал керамику 47мкФ (требования производителя - не Altera).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ИМХО все эти танцы с бубном черезмерны. У меня щас плата на циклоне 2 лежит на столе (TQFP144 на 4х слоях), и там вот это вот цифровое питание синтезатора посажено туда же где и напряжение питания ядра. Через бусину и парочку конденсаторов известного номинала отфильтровано питание только для аналоговой части. Если на заработет или будет глюкать, отпишусь ;)

Скорее всего все заработает. У меня уже не первый год PLL Cyclone II питается через бусину и три конденсатора (0.1 мкФ, 0.01 мкФ, 0.001 мкФ). И никаких вопросов не возникало. Но тем не менее, считаю, что пренебрегать не надо. У Cyclone III другая технология, он вообще более требователен даже к просто к уровням логических сигналов - в частности, сказано, что при использовании 3.3 В стандартов питания сигнал по переменке не должен превышать 4.1 В, это связано с тем что в этой ПЛИС применен техпроцесс, как они пишут, с 2.5 В транзистором, который толерантен к 3 и 3.3 вольтам, но большого запаса по превышению у него нет. Так и PLL тут другая - аналоговое питание другое, частоты внутренние другие (больше), техпроцесс тоньше. Отсюда и вопросы появляются. Не просто же так они все это написали - наверняка налететь уже успели.

 

Можно, конечно, забить, но это не наш путь. Если идти по пути сокращения цепей питания, то надо проводить собственные исследования, чтобы гарантировать работоспособность. А если желания/возможностей для этого нет, то лучше следовать рекомендациям.

 

Кстати, такого документа PGC (Pin Connection Guidelines), где все эти нюансы подключения описаны, для Cyclone II не существует. Такие документы есть для стратиксов (начиная со второго, кажется) и для циклонов 3 и 4. Тоже, видимо, как-то характеризует ситуацию.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Очень актуальная для меня тема - развожу двухслойную плату с EP3C5E144C8N и собираюсь использовать PLL на частоте 200 МГц - 300 МГц ( внутри Алтеры ). Данное обсуждение насторожило. До этого было сделано две платы на такой-же микросхеме, где VCCA делалось линейным стабилизатором TPS76325DBV, на каждый пин по 0,1 мкФ + 0,01 мкФ, не считая конденсаторов самого стабилизатора. VCCD подавалось на каждый PLL через свою бусину и те-же 0,1 мкФ + 0,01 мкФ. Правда, VCCD делалось из 3,3 В тоже аналоговым стабилизатором. Но реально изготовлено было по 1 - 2 экземпляра таких плат и выходные частоты PLL использовались не очень широко, поэтому о большом опыте использования говорить трудно. Но громоздить весь этот зверинец конденсаторов на плату совсем не хочется. Есть надежда на качественное напряжение линейных стабилизаторов ( они используются те-же, что в предыдущих платах ). Смущает вот только резонансный пик из-за наличия бусины с конденсаторами. Тут вроде в обсуждении промелькнула мысль понизить добротность с помощью резистора, а не ставить 470 мкФ. Как-бы это вот грамотно прикинуть ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно, конечно, забить, но это не наш путь. Если идти по пути сокращения цепей питания, то надо проводить собственные исследования, чтобы гарантировать работоспособность.

Как тема для исследований, вместо тантала можно поставить два керамических конденсатора емкостью 100.0 uF типоразмера 1210 в параллель. Итого - 200.0 uF*6.3V, но тангенс угла (и соотв. ESR) почти вдвое меньше, чем у тантала и, возможно, резонанс повыше.

 

По занимаемой площади это примерно тоже, что и тантал в корпусе D:

 

1210*2: 3.3*6.2 мм = 20.46 мм2;

Case-D: 7.3*4.3 мм = 31.39 мм2;

 

PS. С керамикой 1210 можно даже соорудить двухзвенный фильтр: PLL-C+L+C+L-VCC.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но тем не менее, считаю, что пренебрегать не надо. У Cyclone III другая технология.....

Пренебрегать конечно не нужно, но уровень документа от Altera имхо не на высоте. Могли бы привести параметры ферритовой бусины и конденсатора на 470uF, неужели переработались бы? А все от того, что скорее всего, они сами толком не представляют динамики происходящих в PDN процессов. Какие контура, еще раз спрошу, на таких мизерных токах потребления PLL? Давайте разберемся с токами потребления этого узла в динамике, а уж затем будем строить предположения.

 

PS. С керамикой 1210 можно даже соорудить двухзвенный фильтр: PLL-C+L+C+L-VCC.

Соорудить можно все что угодно, а нужно ли?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как тема для исследований, вместо тантала можно поставить два керамических конденсатора емкостью 100.0 uF типоразмера 1210 в параллель. Итого - 200.0 uF*6.3V, но тангенс угла (и соотв. ESR) почти вдвое меньше, чем у тантала и, возможно, резонанс повыше.

У керамики еще ESR поменьше, поэтому контур получится добротнее. Не лишне будет туда еще резистор порядка 0.1 Ом поставить. Но выигрыш, как вы сказали, тут вряд ли можно будет получить.

 

Пренебрегать конечно не нужно, но уровень документа от Altera имхо не на высоте. Могли бы привести параметры ферритовой бусины и конденсатора на 470uF, неужели переработались бы?

Скорее всего использование такого кондея просто универсально решает все проблемы - подходит для работы с любыми бусинами вменяемого номинала, создает премлемую частоту своего резонанса и подходящую добротность контура. Т.е. не заморачиваться с подбором номиналов элементов в каждом случае, а втыкаешь этот и вуаля. Решение с хорошим запасом для почти любых вариантов. Ну, и косвенно обозначили проблему. А кто хочет - тот волен оптимизировать дизайн под свои потребности.

 

P.S. Да, и нет особой проблемы в большинстве случаев поставить такой конденсатор. Это просто у меня в текущем проекте платка весьма плотная получилась, вот он на ней монстроидально (по сравнению со всеми остальными дискретами) выглядит. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

P.S. Да, и нет особой проблемы в большинстве случаев поставить такой конденсатор. Это просто у меня в текущем проекте платка весьма плотная получилась, вот он на ней монстроидально (по сравнению со всеми остальными дискретами) выглядит. :)

Не вижу смысла в таких решениях (470uF), ну нет там таких бросков тока и взяться им не от куда, пока обхожусь традиционным П образным фильтром, плюс керамика 10мкФ проблем нет, время рассудит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не поленился скачал и поставил диск по Eval Board для EP3C25F324. Там есть схема. VCCD питается от того-же источника, что и VCCINT без всякой развязки. Конденсаторы только 0,1 мкФ. Стабилизатор импульсный, LT1959. VCCA ( 2,5 В ) тоже конденсаторы только 0,1 мкФ, модуль питания 2,5 В типа LTM4603EV-1, аж на 6 Ампер. И есть другие микросхемы с питанием 2,5 В, и нет никакой развязки. Такое впечатление, что Алтера свои рекомендации не в грош не ставит. Жалко нет рисунков печатной платы, посмотреть, как они все это развели.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...