Перейти к содержанию
    

Проблема экспорта из IOD 7.3

Проблема экспорта из IOD 7.3 в DxD.

 

Проблема при экспорте. IOD выдает ошибку при экспортировании Schematic and Symbols

Cannot export the constraints to the iCDB.

Error: FPC Path is not set

Не подскажете ли, где он устанавливается?

 

При экспортировании только символа - все нормально.

 

И еще вопрос - подскажите, как дальше этот символ добавить из локальных символов в центральную библиотеку?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И еще вопрос - подскажите, как дальше этот символ добавить из локальных символов в центральную библиотеку?

В Dx выделяете символ, Edit>Local Symbol. В Symbol Editor File>Export Symbol.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В Dx выделяете символ, Edit>Local Symbol. В Symbol Editor File>Export Symbol.

Спасибо! Как вовремя. Только что хотел такой же вопрос задать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо. Вопрос №1 решился обновлением софта последним апдейтами. Судя по всему IOD не присваивал автоматически при экспортировании свойство FPCPath символу.

gray.k Спасибо за ответ.

 

Еще вопрос - как создать ПОЛНЫЙ эелемент в библиотеке после экспортирования из IOD.

Схемный элемент я понял как, а как сделать Part и где брать Cell от этого элемента?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо. Вопрос №1 решился обновлением софта последним апдейтами. Судя по всему IOD не присваивал автоматически при экспортировании свойство FPCPath символу.

gray.k Спасибо за ответ.

 

Еще вопрос - как создать ПОЛНЫЙ эелемент в библиотеке после экспортирования из IOD.

Схемный элемент я понял как, а как сделать Part и где брать Cell от этого элемента?

http://megratec.ru/data/ftp/demo_video_200...assignments.rar

IO создает *.hkp с данными Part, Cell естественно надо создавать...

Или загрузить с сайта производителя https://www.altera.com/support/software/dow....oss=expedition.

Изменено пользователем fill

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

IOD 7.3SP1, DxD2007.2

Добрый день. Подскажите пожалуйста путь решения проблемы -

Создаю в IOD символы для микросхемы XC2C256 в корпусе vq100.

Экспортирую символы в DxD local symbols. Оттуда экспортирую в Symdol Editor и наконец ипортирую в центральную библиотеку.

Но вот тут и происходит засада. Cell есть, PDB есть. Пытаюсь все это свести в единое целое - получаю ошибку например "P45 in sumbol XXX:xxx is not a valid pin number"

т.е. в IODesigner нумерация ножек корпуса vq100 - P1,P2,.....P100, а Library Manager желает явно чего то другого. Судя по всему - просто цифр номера ножки.

Подскажите, как эти грабли обойти. И не наступлю ли я на эти грабли, при создании PDB с корпусоб БГА, где нумерация ножек может быть буквенно-циферной.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите, как эти грабли обойти. И не наступлю ли я на эти грабли, при создании PDB с корпусоб БГА, где нумерация ножек может быть буквенно-циферной.

В принципе, буквенно-цифровые обозначения перевариваются нормально. Правда, вчера у меня был инциндент с нумеерацией пинов BGA памяти. Номер пина "H1" в Cell присваиваться отказывался категорически. Пришлось и в символе и в селле обозвать пин через маленькую букву "h1".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

IOD 7.3SP1, DxD2007.2

Добрый день. Подскажите пожалуйста путь решения проблемы -

Создаю в IOD символы для микросхемы XC2C256 в корпусе vq100.

Экспортирую символы в DxD local symbols. Оттуда экспортирую в Symdol Editor и наконец ипортирую в центральную библиотеку.

Но вот тут и происходит засада. Cell есть, PDB есть. Пытаюсь все это свести в единое целое - получаю ошибку например "P45 in sumbol XXX:xxx is not a valid pin number"

т.е. в IODesigner нумерация ножек корпуса vq100 - P1,P2,.....P100, а Library Manager желает явно чего то другого. Судя по всему - просто цифр номера ножки.

Подскажите, как эти грабли обойти. И не наступлю ли я на эти грабли, при создании PDB с корпусоб БГА, где нумерация ножек может быть буквенно-циферной.

 

 

Откройте эту CELL в CELL_Editor и перенумеруйте согласно номерам в IOD.

 

Основное правило - номера в CELL должны совпадать с номерами в IOD, если несовпадают, то исправляйте CELL.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Откройте эту CELL в CELL_Editor и перенумеруйте согласно номерам в IOD.

 

Основное правило - номера в CELL должны совпадать с номерами в IOD, если несовпадают, то исправляйте CELL.

Но ведь эта Cell может использоватся и в других PDB. Тогда получается нужно отдельную CELL заводить, чтобы удовлетворить IOD'у?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но ведь эта Cell может использоватся и в других PDB. Тогда получается нужно отдельную CELL заводить, чтобы удовлетворить IOD'у?

 

Откройте datasheet по Spartan and Spartan-XL и увидите что этот корпус имеет номера совпадающие с IOD . А теперь обратитесь по адресу - какого черта xilinx нумерует курпус с одним и тем же именем по разному в разных семействах.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хорошо, тогда еще вопрос, Например хочу я создать в IOD схемный элемент.

Завожу сигнал - дифференциальный тактовый DIFF_CLK.

Микросхему использую Virtex5 5VLX-50t (FF1136 корпус), а сигнал этот, который я создал, присвоить никому нельзя. Т.к. IOD считает, что таких ног с функцией DIFF_CLK у этого корпуса нет. Хотя по даташиту на эту микросхему - дифклоков есть много. Ну и это не только на этом корпусе. еще на одном столкнулся с таким косяком.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В принципе, буквенно-цифровые обозначения перевариваются нормально. Правда, вчера у меня был инциндент с нумеерацией пинов BGA памяти. Номер пина "H1" в Cell присваиваться отказывался категорически. Пришлось и в символе и в селле обозвать пин через маленькую букву "h1".

 

Странно

А вы не могли случайно вместо английской буквы русскую вставлять?

 

Хорошо, тогда еще вопрос, Например хочу я создать в IOD схемный элемент.

Завожу сигнал - дифференциальный тактовый DIFF_CLK.

Микросхему использую Virtex5 5VLX-50t (FF1136 корпус), а сигнал этот, который я создал, присвоить никому нельзя. Т.к. IOD считает, что таких ног с функцией DIFF_CLK у этого корпуса нет. Хотя по даташиту на эту микросхему - дифклоков есть много. Ну и это не только на этом корпусе. еще на одном столкнулся с таким косяком.

 

 

Функцию соответсвующего пина переопределите:

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Fill

Что функцию переопределить для ножки это вполне понятно, я так и сделал когда понял, почему он не хочет присваивать. И вообще такой глюк у меня с разными типами сигналов и как минимум с 4 из 4 типов микросхем Ксайлинкса, которые есть в IOD и с которыми мне нужно сейчас работать.

Но ведь IOD для того и создан, чтобы он в автомате мог менять и присваивать сигналы меджу ножками. И на Вашей конференции(EDA Tech Forum) - было особо выделено то, что все делается в автоматическом режиме, мол ошибки человеческие будут таким образом исключены. А получается - снова с тетрадочкой и ручкой и докой - проверять соответствие сигналов например в микросхеме с 1136 ножками. Я конечно утрирую, но смысл, я думаю, понятен.

Изменено пользователем sgerasch

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Fill

Что функцию переопределить для ножки это вполне понятно, я так и сделал когда понял, почему он не хочет присваивать. И вообще такой глюк у меня с разными типами сигналов и как минимум с 4 из 4 типов микросхем Ксайлинкса, которые есть в IOD и с которыми мне нужно сейчас работать.

Но ведь IOD для того и создан, чтобы он в автомате мог менять и присваивать сигналы меджу ножками. И на Вашей конференции(EDA Tech Forum) - было особо выделено то, что все делается в автоматическом режиме, мол ошибки человеческие будут таким образом исключены. А получается - снова с тетрадочкой и ручкой и докой - проверять соответствие сигналов например в микросхеме с 1136 ножками. Я конечно утрирую, но смысл, я думаю, понятен.

 

IOD проверяет соответствие текущего типа сигнала с текущим типом пина, а не с возможными типами многозадачных пинов. Ошибки здесь исключены потому что вы не сможете выбрать тип пина, который не совпадает с возможностями данного пина ибо в списке типов пина есть только те типы которые допустимы для данного пина согласно документации от производителя.

Попробуйте ввести указанный вами сигнал, назначить ему тип IO и присвоить его на пин, таких пинов первоначально много. А теперь поменяйте тип сигнала - если этот пин может нести данную функцию, то его тип автоматически изменится на тип совпадающий с типом сигнала и сигнал останется назначенным в пин, если нет, то произойдет отказ от назначения - сигнал станет не назначенным в пин.

В IOD есть специальная закладка Special в которой собраны многозадачные и специальные пины.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...