Перейти к содержанию
    

OVM обновился

http://www.ovmworld.org/press_release_091108.php

 

 

похоже авторы реализовали, то о чем их давно просили

 

OVM 2.0 includes the new OVM User Guide, which provides step-by-step guidelines to help users develop reusable, interoperable verification IP and hierarchical environments to facilitate plug-and-play verification

 

Как раз вовремя, собираюсь переписать тестбенч на эту платформу. Скоро будет в опенсорсе %)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

полюбопытствую: а что за тестбенч планируете переписать?

было бы, конечно интересно именно на реальном проекте сравнить: _до_ и _после_.. и что это дало в т.ч. и в количественном плане (сравнение утилизации времени инженера-верификатора)

 

 

как я понял из презентации ментоворцев: самое сложное в OVM - сделать первый проект, а там уже, встав на новые рельсы, легче будет..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

полюбопытствую: а что за тестбенч планируете переписать?

было бы, конечно интересно именно на реальном проекте сравнить: _до_ и _после_.. и что это дало в т.ч. и в количественном плане (сравнение утилизации времени инженера-верификатора)

как я понял из презентации ментоворцев: самое сложное в OVM - сделать первый проект, а там уже, встав на новые рельсы, легче будет..

 

Ну у меня один пока проект в опенсорcе, контроллер сдрама. Я сделал к нему мосты на Wishbone B3 и AMBA AXI. надо для них тестбенч сделать и для контроллера переписать.

 

Небольшие наработки по OVM у меня уже есть думаю быстро пойдет %)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

“Step-by-Step Functional Verification with SystemVerilog and OVM”

 

если кто-нибудь встречал в электр виде, поделитесь плиз

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

sybirman

 

так оно ж ведь - Open Verification Methodology

 

ссылка на сайт приведена топикстартером

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

“Step-by-Step Functional Verification with SystemVerilog and OVM”

 

если кто-нибудь встречал в электр виде, поделитесь плиз

 

линки на ovm для чайников ищите в теме про SystemVerilog (висит всегда в топе).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я был на этом сайте www.ovmworld.com

вот как раз по ссылке OVM Book открывается страница с книгой Step-by-Step Functional Verification with SystemVerilog and OVM

 

а документы пдф из ovm-2.0.zip по содержанию, не соответвуют содержанию книги, поэтому и попросил поделиться ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

sybirman

..и действительно...

 

в таком случае присоединяюсь к вопросу!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

заказал книгу на амазоне, как прийдет , постараюсь отсканить и поделиться , если конечно кто-нибудь не опередит меня :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я был на этом сайте www.ovmworld.com

вот как раз по ссылке OVM Book открывается страница с книгой Step-by-Step Functional Verification with SystemVerilog and OVM

 

а документы пдф из ovm-2.0.zip по содержанию, не соответвуют содержанию книги, поэтому и попросил поделиться ...

 

в топике про SV линк на небольшой учебник

 

http://www.doulos.com/knowhow/sysverilog/ovm/

 

да и что там подробно читать :) тот же AVM вид сбоку + есть подробные примеры и грамотное описание классов. Надо брать и пользовать.

 

Самое сложное для меня было вытянуть из скудного описания функциональную структуру OVM %))

 

Хотя книгу позырить для кругозора не помешает %)))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

перенесено http://electronix.ru/forum/index.php?showt...amp;st=30

 

чтобы все эти чеккеры, мониторы и секвенсоры из OVM работали в HDL Designer.

 

ткните носом где там чеккеры и что именно они проверяют? там же только базовый класс ovm_scoreboard ?

 

таки я пересел на OVM, господа это просто песня %))

 

Разбирался вечерами после работы где то месяц, непосредственно запускал 3 дня. Да первый проект комом, но как понял что куда то понеслась. В общем всем рекомендую.

 

Работаю по колхозному, слик + ручками интегрированный OVM-2.0 в квесте.

 

ЗЫ. кстати философия VMM очень легко ложиться поверх базовых классов OVM. Если уж сильно припрет то можно не заморачиваться с connect(put_export/get_export). :)) Хотя лучше все таки заморочиться.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

предлагаю выносить отдельной жирной веткой методологию верификации с соответсвующим названием ветки, а то не понять куда сообщения скидывать

 

Да думаю пора уже отдельную тему в топе делать и темы про OVM/AMM/OVM туда перенести. А то обсуждать эти темы в теме про SV вы запретили, а вопросов похоже все больше и больше по ним будет %)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

обсуждать эти темы в теме про SV вы запретили

да, уж скажете тоже. просто, на мой взгляд целесообразно разделять вопросы самого языка (околосинтаксические) и методологии с библиотеками.

ок. будем создавать выделенную ветвь

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

нашел занятную вешь

 

http://www.intelligentdv.com/downloads/

 

Doxygen документация на OVM Либы + Doxygen Filter for System Verilog (!!!)

 

 

не, рано радоваться. этот фильтр работает только для классов. для модулей и прочего не работает.

 

Что бы не мусорить в OVM тему, создал новую

 

http://electronix.ru/forum/index.php?showtopic=58520

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Разыскивается книга “Step-by-Step Functional Verification with SystemVerilog and OVM”

 

если кто-нибудь встречал в электр виде, поделитесь плиз

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...