Spb 0 22 апреля, 2008 Опубликовано 22 апреля, 2008 · Жалоба Приветствую господа!!! Помогите с примерами для этих операторов. заранее спасибо!!! Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Maverick_ 15 22 апреля, 2008 Опубликовано 22 апреля, 2008 · Жалоба Привет! -- A Sample 16-bit barrel shifter code -- This code can be cut and pasted to the design file -- directly. library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; entity BARREL_SHIFT is port( DIN : in std_logic_vector(15 downto 0); SHIFT_AMT : in std_logic_vector(3 downto 0); CLK, ENB : in std_logic; DOUT : out std_logic_vector (15 downto 0)); end BARREL_SHIFT; architecture RTL of BARREL_SHIFT is signal DIN_BIT, DOUT_BIT : bit_vector (15 downto 0); signal S_INT : integer; begin DIN_BIT <= to_bitvector(DIN); S_INT <= CONV_INTEGER(SHIFT_AMT); process(CLK) begin if(CLK' event and CLK='1') then if(ENB = '0') then DOUT <= DIN; else DOUT_BIT <= DIN_BIT ror S_INT; DOUT <= to_stdlogicvector(DOUT_BIT); end if; end if; end process; end RTL; Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
andrew_b 16 22 апреля, 2008 Опубликовано 22 апреля, 2008 · Жалоба use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.all; signal DIN_BIT, DOUT_BIT : bit_vector (15 downto 0); signal S_INT : integer; Зачем всё это? Используйте стандартные функции shift_left, shift_right, rotate_left, rotate_right. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Maverick_ 15 22 апреля, 2008 Опубликовано 22 апреля, 2008 · Жалоба Зачем всё это? Используйте стандартные функции shift_left, shift_right, rotate_left, rotate_right. Я просто взял пример из Language Templates -> VHDL -> Synthesis Construct -> Coding Examples -> Misc -> barrel shifter Пользуюсь Xilinx ISE 8.02.03 Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
CaPpuCcino 0 22 апреля, 2008 Опубликовано 22 апреля, 2008 · Жалоба Помогите с примерами для этих операторов. хорошо бы указывать интересующий вас язык http://www.bsuir.by/vhdl/print/articles/numericstd - здесь найдёте примеры для VHDL Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Spb 0 24 апреля, 2008 Опубликовано 24 апреля, 2008 · Жалоба хорошо бы указывать интересующий вас язык http://www.bsuir.by/vhdl/print/articles/numericstd - здесь найдёте примеры для VHDL ВХДЛ Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Maverick_ 15 24 апреля, 2008 Опубликовано 24 апреля, 2008 · Жалоба ВХДЛ Это так понимаю VHDL. :) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться