Перейти к содержанию
    

Меня всегда интересовал вопрос о том что лучше Altera или Xilinx (с точки зрения архитектуры ПЛИС, стоимости, удобства работы с софтом и т.д.). Например CPLD Altera MAX7000 гарантированно перешивались всего 100 раз, Xilinx перешивался от 1000 до 10000 раз в зависимости от серии, правда сейчас появилась новая серия MAX2! Предлагаю обсудить минусы и плюсы различных семейств Altera и Xilinx. По моему это будет интересно :-). Лично я считаю что Altera уступает Xilinx практически по всем показателям.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Дополнительный вопрос:

Кто лучше воспринимает размазанные фронты сигналов Xilinx или Altera?

 

У Altera я знаю ситуация плохая. :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

у альтера очень симпатичные микросхемы и интуитивно понятный софт. просты в освоении...

а сXilinx (что Foundation что ISE пока разберешься)

Сейчас то куда еще не шло, а раньше ISE было такое убожище... врагу не пожелаешь. : (

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Считаю что спорить по поводу кто лучше смысла нет.

Это две лидирующие мировые фирмы, которые в совокупности производят примерно одинаковую продукцию.

 

Вопрос как ее применить – вопрос к разработчикам и здесь все зависит от их квалификации.

 

...правы будут и те и другие и никогда не будет найдет кто больше прав,

будут ссоры и обиды, но не из–за того что будет найдена правда...

 

Можно искать частные моменты, но оценивать все равно нужно по совокупности, куда входят не только технические параметры элементой базы, но и экономические, маркетинговые, стратегические и т.д.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проверяется простейшим методом:

Берем ПЛИС-проект на HDL (было исполнено на верилоге), компилируем его одним компилятором (я пользовался Leo*nard*o Spec*tru*m) и пробуем положить в ПЛИСы от обоих вендоров. Результаты: (для одного и того же проекта):

 

Altera:

 
** DEVICE SUMMARY **

Chip/                     Input   Output   Bidir         Shareable
POF       Device          Pins    Pins     Pins     LCs  Expanders  % Utilized

test_cpld  epm7128stc100-15 13       10       8      124     54          96 %

User Pins:                 13       10       8  

Xilinx:

 

Device Used: XC95216-10-PQ160
Fitting Status: Successful

****************************  Resource Summary  ****************************

Macrocells     Product Terms    Registers      Pins           Function Block 
Used           Used             Used           Used           Inputs Used    
121/216 ( 56%) 658 /1080 ( 60%) 89 /216 ( 41%) 30 /133 ( 22%) 305/432 ( 70%)

 

Причём в Xilinx меньшей ёмкости проект принципиально не влазил. То же самое - и с FPGA от обоих фирм, но проверял давно, результаты не сохранил.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пусть прозвучит немного поучительно, но:

товарищи разработчики, те, которые начинают работать с ПЛИС, постарайтесь по-возможности абстрагироваться от имени производителя ПЛИС. Сконцентрируйтесь на решении задачи. Постарайтесь ознакомиться с различными чипами, от разных производителей, и начинайте их использовать в зависимости от Вашего видения ситуации. Ведь здесь всё обстоит также, как и с выбором МК: совсем не важно какой МК, важно выбрать выгодный по тем или иным соображениям B)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

у альтера очень симпатичные микросхемы и интуитивно понятный софт. просты в освоении...

По моему софт альтеры какой-то детский и он кажется удобным только с первого взгляда, нет программы типа FPGA Editor (очень наглядная и удобная программа). Я считаю , что разработчики пользовались Альтерой из-за доступности софта, ISE-WebPack был очень ограничен. И вообще с появлением на рынке Spartan3 альтера отдыхает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

согласен, вобщем-то есть и свои и плюсы и свои минусы.

чем Spartan3 так отличился?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А желающих поспорить на эту тему можно отправить на телесис - там не очень давно была дискуссия по энтому самому поводу страницы на 3, "а воз и ныне там". Спор-то безпредметный, факторов масса, как технических, так и не очень

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну, да. Еще бы поспорили, что лучше VHDL или Verilog.

а че можно и поспорить. Как в любом безпредметном споре можно получить массу удовольствия :D

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Altera продается на каждом углу, а у Xilinx один представитель, все поставляется только под заказ, склада у них нет, сроки поставки запредельные.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...