Перейти к содержанию
    

Думаю, это должно сработать:

for {set i 0} {$i<32} {incr i} {
    add wave -color "gold" -analog -min -3.0 -max 12.0 -height 25  \
sim:/test_sintez_crate/comp_sintez_basket/gen_channels_module__1/comp_channels_module/dut_s($i)
}

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вы волшебник!!! Спасибо.

ЗЫ Дык что же это получается, если хочется комфортно работать с моделсимом, придется тикль учить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вы волшебник!!! Спасибо.

ЗЫ Дык что же это получается, если хочется комфортно работать с моделсимом, придется тикль учить?

 

Пожалуйста. :beer:

Что же касается тикля - то он полезен, т.к. широко распространен. Поучить стоит, хотя бы базовые конструкции.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Наткнулся на баг моделсима при симуляции модели памяти CY7C1371D. Подготовил пример. Уважаемые корифеи, если не затруднит, посимуляйте, пожалуйста, там немного и, надеюсь, все понятно. Странное поведение переменной bcnt - это действительно баг или мне нужно вдумчиво покурить какой-нибудь раздел стандарта vhdl?

modelsim_bag.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробовал этот пример посимулять в RivieraPro 2008.02. Все нормально, баг отсутствует.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Баг следующий.

Пишет Fatal: Trouble with Simulation Kernel. и привет семье.

 

Был послан также и ривьерой:

KERNEL: Error: E8060 : Stack Overflow

Error: Fatal error occurred during simulation.

modelsim_bag_next.rar

Изменено пользователем Vadim

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

add wave -color "gold" -analog -min -3.0 -max 12.0 -height 25\

{sim:/test_sintez_crate/comp_sintez_basket/gen_channels_module__1/comp_channels_module/dut_s(?)}

У меня ModelSim SE PLUS 6.0c - как в нем добавить wave чтобы аналоговая форма была?

Вот что у меня в скрипте:

add wave -color "gold" -analog -min -3.0 -max 12.0 -height 25 uut/IN_I uut/IN_Q

А моделсим на это говорит:

# ** Error: Unrecognized option: "-min" ignored.

# Unrecognized option: "-3.0" ignored.

# Unrecognized option: "-max" ignored.

# Unrecognized option: "-min" ignored.

# Unrecognized option: "-3.0" ignored.

# Unrecognized option: "-max" ignored.

 

и в итоге отображение получается аналоговым, но если его развернуть - оно заляпывает весь экран - как минимумы и максимумы правильно задать?

 

ЗЫ Я знаю только опции -scale и -offset ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сложно сказать, почему так происходит, у меня нет моделсима 6.0. Попробуйте почитать Reference Manual. Найдите там описание команды add wave. У меня квеста 6.4 и там минимумы и максимумы задаются так, как в примере.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

стоит ISE 10.1 и modelsim 6.2b, испытываю следующее неудобство:

при первой симуляции нового проекта моделсим нецепляет библиотеки XilinxCoreLib_ver, unisims_ver, unimacro_ver и т.д. приходится добавлять их в ручную, при этом, видимо, модифицируется modelsim.ini.

что нужно прописать в modelsim.ini чтобы библиотеки были подключены всегда ?

 

спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

похожее :(

У меня следующий трабл: использую свой DO файл

 

следующие действия не дают никакого результата

 

 

vlib ll_v1_00_a

vcom -explicit -93 -work ll_v1_00_a "../../hdl/vhdl/ll_src.vhd"

 

 

# ** Error: (vcom-25) Invalid ModelSim library "ll_v1_00_a". No _info file found.

# No such file or directory. (errno = ENOENT)

# ** Error: ../../hdl/vhdl/ll_src_fifo_2clk.vhd(43): Library ll_v1_00_a not found.

# ** Error: ../../hdl/vhdl/ll_src_fifo_2clk.vhd(44): (vcom-1136) Unknown identifier "ll_v1_00_a".

# ** Error: ../../hdl/vhdl/ll_src_fifo_2clk.vhd(49): VHDL Compiler exiting

# ** Error: C:/Modeltech_xe/win32xoem/vcom failed.

 

зато вводиш все из командной строки и работает :07: :07:

 

Чего ему нехватает чтобы сотворить библиотеку из do ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

похожее :(

У меня следующий трабл: использую свой DO файл

 

следующие действия не дают никакого результата

vlib ll_v1_00_a

vcom -explicit -93 -work ll_v1_00_a "../../hdl/vhdl/ll_src.vhd"

# ** Error: (vcom-25) Invalid ModelSim library "ll_v1_00_a". No _info file found.

# No such file or directory. (errno = ENOENT)

# ** Error: ../../hdl/vhdl/ll_src_fifo_2clk.vhd(43): Library ll_v1_00_a not found.

# ** Error: ../../hdl/vhdl/ll_src_fifo_2clk.vhd(44): (vcom-1136) Unknown identifier "ll_v1_00_a".

# ** Error: ../../hdl/vhdl/ll_src_fifo_2clk.vhd(49): VHDL Compiler exiting

# ** Error: C:/Modeltech_xe/win32xoem/vcom failed.

 

зато вводиш все из командной строки и работает :07: :07:

 

Чего ему нехватает чтобы сотворить библиотеку из do ?

 

Нашел выход, не знаю почему но работает вроде бы

 

------------------------------------------------------------------------

set ll_lib_folder "ll_v1_00_a"

 

if ![file exists $ll_lib_folder] {

vlib $ll_lib_folder

vmap $ll_lib_folder $ll_lib_folder

}

 

vcom -explicit -93 -work ll_v1_00_a "../../hdl/vhdl/ll_src.vhd"

--------------------------------------------------------------------------

 

если vcom не находится сразу после vlib то не работaет.

Есть ли логическое обяснение?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...