Перейти к содержанию
    

По какому принципу работает проверка RTL?

Подскажите по какому принципу работает RTL?

И ещё, во время проверки RTL в ISE 8.1i выскачило предупреждение

The following signals are missing in the process sensitivity list:

На сколько я понял, текущий сигнал отсутствует в списке чуствительности, как исправить ошибку?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На сколько я понял, текущий сигнал отсутствует в списке чуствительности, как исправить ошибку?
включить в список чувствительности процесса... :) Раз уж отсутствует...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а зачем о нём что-то смотреть - РТЛ -это название уровня абстракции при котором устройство представляется элементами памяти и комбинаторной логики - явно описывается что, когда и как должно защёлкнуться в в запоминающий элелмент (т.е. есть явная комбинаторная логика и явные элементы памяти)

а что вас конкретно интересует?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

меня интересует что он проверяет, вот check syntax проверяет синтаксис, а что проверяет RTL?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...