Перейти к содержанию
    

Генерация библиотеки для ModelSim из Xilinx

Добрый День, сталкнулся с такой ситуацией:

Необходимо промоделировать Virtex 2VPO с внутренней ячейкой Rocket IO.

В общем CoreGen сгенерил модуль с сылкой на компоненту GT_CUSTOM, которой в стандардных файлах библиотек скачанных из Xilinx (mxe6.2c_91isp2_simulation_libraries.zip и в mxe6.2c_91i_ip1_xilinxcorelib.zip) отсутствует.

Так же я слышал когда-то, что можно сгенерить из самого ISE библиотеки для ModelSim, как это сделать, подскажите, пожалуйста!? Пошагово :).

(внутри ISE в библиотеке unisim компонента GT_CUSTOM.v присутствует)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

включаете Project Navigator, создаёте проект заданной архитектуры (или открываетет существующий) наводите в окне Sources на кристалл xc2p...(окне обычно влево вверху, кристал в дереве под названием проекта) - смотрите вниз - под ним окошко Processes - в нём дерево - выбираете Design Utilities - в нъм дерево -выбираете Compile HDL Simulation Libraries - щёлкаете правой кнопкой - выбыраете Properties - выбираете Compile SmartModels,прописываете вcе пути и желаемый язык- нажимаете ok - ещё раз правой кнопкой - выбираете Run.

post-5973-1174421907_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

включаете Project Navigator, создаёте проект заданной архитектуры (или открываетет существующий) наводите в окне Sources на кристалл xc2p...(окне обычно влево вверху, кристал в дереве под названием проекта) - смотрите вниз - под ним окошко Processes - в нём дерево - выбираете Design Utilities - в нъм дерево -выбираете Compile HDL Simulation Libraries - щёлкаете правой кнопкой - выбыраете Properties - выбираете Compile SmartModels,прописываете вcе пути и желаемый язык- нажимаете ok - ещё раз правой кнопкой - выбираете Run.

post-5973-1174421907_thumb.jpg

 

Спасибо большое, с помощью твоего рисунка с первого раза сразу же получилось! :)

 

Мои заметки, для тех кто будет делать по такому методу:

1) обязательно должен быть создан проект с указанием Simulator (к примеру, = ModelSim SE Verilog; если будет ISE Simulator, то будет ругань)

2) прописан путь к симулятору, как на картинке выше (в моем случае = C:/QuestaSim/win32)

3) вначале я ожидал, что он положит в библиотеку QuestaSim, и смотрел туда где новые папки появились, но после нашел строчку в репорте "Modifying c:\questasim\win32/../modelsim.ini", и увидел, что он поменял внутри modelsim.ini:

simprims_ver = $MODEL_TECH/../xilinx/verilog/simprims_ver

unisims_ver= $MODEL_TECH/../xilinx/verilog/unisims_ver

на

SIMPRIMS_VER = C:\Xilinx_ISE81\verilog\mti_se\simprims_ver

UNISIMS_VER = C:\Xilinx_ISE81\verilog\mti_se\unisims_ver

 

Ещё раз спасибо большое CaPpuCcino, без тебя ну никак бы и никуда! :biggrin:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Мои заметки, для тех кто будет делать по такому методу:

....

добавлю, что перед компиляцией библиотек с файла modelsim.ini надо снять флажок "только для чтения":

 

часто при установке ModelSim (например версия 6.1с) файл modelsim.ini создается с атрибутами "только для чтения" - соответственно бывает так ,что ISE бодро рапортует об успешном прописывании путей - а по факту modelsim.ini не изменяется .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ещё одна сложная ситуация, теперь покруче:

 

При моделировании все сидит в иксах, пишет причем такую вешь:

# Top level modules:
#     ROCKETIO
# vsim -L unisims_ver -lib work -voptargs=\"+acc\" -t 1ps +maxdelays ROCKETIO glbl 
# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
# Loading work.glbl(fast)
# Loading work.ROCKETIO(fast)
# Loading C:\Xilinx_ISE81\verilog\mti_se\unisims_ver.GT_CUSTOM(fast)
# Loading C:\Xilinx_ISE81\verilog\mti_se\unisims_ver.GT(fast)
# Loading C:\Xilinx_ISE81\verilog\mti_se\unisims_ver.GT_SWIFT(fast)
# Loading C:\Xilinx_ISE81\verilog\mti_se\unisims_ver.GT_SWIFT_BIT(fast)
# ** Warning: (vsim-PLI-3003) C:/Xilinx_ISE81/verilog/mti_se/unisims_ver/unisims_ver_SmartWrapper_source.v(18339): [TOFD] - System task or function '$lm_model' is not defined.
#         Region: /ROCKETIO/GT_CUSTOM_INST/gt_1/gt_swift_1/I1
# .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs.pw.wf
# .main_pane.workspace.interior.cs.nb.canvas.notebook.cs.page3.cs
# .main_pane.signals.interior.cs

 

где мне взять эту самую функцию "$lm_model" я её нигде не нашел... :help:

 

Нашел ответ в

http://www.xilinx.com/xlnx/xil_ans_display...tPagePath=17903

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Коллеги, какая-то ерунда произошла с генерацией библиотек. Подскажите как исправить?

Переустановил ISE на 9.1 и перестала генериться библиотека, вернул назад 8.1, ничего не изменилось, также не генериться, причем на второй машине при тех же настройках, что обсуждались выше все нормально работает

ALIB: Library `unisims_ver' attached
unisims_ver = C:\Xilinx\ISE\verilog\mti_se\unisims_ver\unisims_ver.lib
AMAP: Adding library mapping `C:\Xilinx\ISE\verilog\mti_se\unisims_ver\unisims_ver.lib'
ALOG: Error: Unknown switch: -source (for vlog)

 

полностью лог (compxlib.log):

    ____  ____
   /   /\/   /
  /___/  \  /    VENDOR      : Xilinx Inc.
  \   \   \/     VERSION     : 8.1i (I.27)
   \   \         APPLICATION : compxlib
   /   /         CONTENTS    : Compilation Log
  /___/   /\     FILENAME    : compxlib.log
  \   \  /  \    CREATED ON  : Thu May 31 15:18:06 2007
   \___\/\___\

XILINX = 'C:\Xilinx\ISE'
Library Source => 'C:\Xilinx\ISE'

Compilation Mode = FAST
Scheduling library installation & compilation for VIRTEX-II Pro 

Signature:-
------------------------------------------------------------------------------
compxlib -s mti_se
         -arch virtex2p
          -lib unisim
          -lib simprim
          -lib xilinxcorelib
          -lib smartmodel
         -l verilog
         -dir C:\Xilinx\ISE
         -log compxlib.log
         -w
------------------------------------------------------------------------------

--> Installing Xilinx smartmodel library .....
    > Environment variable LMC_HOME = 'c:\Xilinx\ISE\smartmodel\nt\installed_nt'
    > Extracting model names from 'C:\Xilinx\ISE\smartmodel\nt\image\sl_toc.dat'
    > Creating 'model.list' at current directory

Library Image directory : 'C:\Xilinx\ISE\smartmodel\nt\image'
Installation directory : 'c:\Xilinx\ISE\smartmodel\nt\installed_nt'
Running installer...... 


Synopsys/Logic Modeling sl_admin
Copyright (c) 1984-2000 Synopsys Inc. ALL RIGHTS RESERVED
Version: 02042

Reading Library
Reading Media
Checking user selections
Loading models....
Loading model: dcc_fpgacore_swift, version: 02402, platform: pcnt
Loading model: emac_swift, version: 01022, platform: pcnt
Loading model: glogic_adv_swift, version: 01004, platform: pcnt
Loading model: glogic_swift, version: 04001, platform: pcnt
Loading model: gt10_swift, version: 02221, platform: pcnt
Loading model: gt11_swift, version: 01013, platform: pcnt
Loading model: gt_swift, version: 01602, platform: pcnt
Loading model: ppc405_adv_swift, version: 01009, platform: pcnt
Loading model: ppc405_swift, version: 04003, platform: pcnt
Updating Configuration files
Writing: c:\Xilinx\ISE\smartmodel\nt\installed_nt/data/pcnt.lmc
Updating Library Versioned links
Updating Documentation files
Updating Library cache
Install complete



Compiling Xilinx HDL Libraries for ModelSim SE Simulator
Language => verilog
Backing up setup files if any...
Output directory => 'C:\Xilinx\ISE\verilog\mti_se'

--> Compiling verilog unisim library
    > Unisim compiled to C:\Xilinx\ISE\verilog\mti_se\unisims_ver

==============================================================================
ALIB: Library `unisims_ver' attached
unisims_ver = C:\Xilinx\ISE\verilog\mti_se\unisims_ver\unisims_ver.lib

AMAP: Adding library mapping `C:\Xilinx\ISE\verilog\mti_se\unisims_ver\unisims_ver.lib'

ALOG: Error: Unknown switch: -source (for vlog)

==============================================================================

    > Log file C:\Xilinx\ISE\verilog\mti_se\unisims_ver\cxl_unisim.log generated
    > Library mapping successful, setup file(s) modelsim.ini updated

compxlib[unisims_ver]: 1 error(s), no warning(s)

--> Compiling verilog simprim library
    > Simprim compiled to C:\Xilinx\ISE\verilog\mti_se\simprims_ver

==============================================================================
ALIB: Library `simprims_ver' attached
simprims_ver = C:\Xilinx\ISE\verilog\mti_se\simprims_ver\simprims_ver.lib

AMAP: Adding library mapping `C:\Xilinx\ISE\verilog\mti_se\simprims_ver\simprims_ver.lib'

ALOG: Error: Unknown switch: -source (for vlog)

==============================================================================

    > Log file C:\Xilinx\ISE\verilog\mti_se\simprims_ver\cxl_simprim.log generated
    > Library mapping successful, setup file(s) modelsim.ini updated

compxlib[simprims_ver]: 1 error(s), no warning(s)

--> Compiling verilog XilinxCoreLib library
    > XilinxCoreLib compiled to C:\Xilinx\ISE\verilog\mti_se\XilinxCoreLib_ver

==============================================================================
ALIB: Library `XilinxCoreLib_ver' attached
XilinxCoreLib_ver = C:\Xilinx\ISE\verilog\mti_se\XilinxCoreLib_ver\XilinxCoreLib_ver.lib

AMAP: Adding library mapping `C:\Xilinx\ISE\verilog\mti_se\unisims_ver\unisims_ver.lib'

AMAP: Adding library mapping `C:\Xilinx\ISE\verilog\mti_se\XilinxCoreLib_ver\XilinxCoreLib_ver.lib'

ALOG: Error: Unknown switch: -source (for vlog)

==============================================================================

    > Log file C:\Xilinx\ISE\verilog\mti_se\XilinxCoreLib_ver\cxl_XilinxCoreLib.log generated
    > Library mapping successful, setup file(s) modelsim.ini updated

compxlib[XilinxCoreLib_ver]: 1 error(s), no warning(s)

--> Compiling verilog smartmodel(unisim) library
    > unable to parse initialization file. Check if the 
      file modelsim.ini is present in the current directory
      with read/write permissions
    > SWIFT Interface configuration procedure failed
    > Unisim Smart-Models compiled to C:\Xilinx\ISE\verilog\mti_se\unisims_ver

==============================================================================
AMAP: Adding library mapping `C:\Xilinx\ISE\verilog\mti_se\unisims_ver\unisims_ver.lib'

ALOG: Error: Unknown switch: -source (for vlog)

ALOG: Error: Unknown switch: -source (for vlog)

==============================================================================

    > Log file C:\Xilinx\ISE\verilog\mti_se\unisims_ver\cxl_smartmodel.log generated
    > Library mapping successful, setup file(s) modelsim.ini updated

compxlib[smartmodel]: 2 error(s), no warning(s)

--> Compiling verilog smartmodel(simprim) library
    > unable to parse initialization file. Check if the 
      file modelsim.ini is present in the current directory
      with read/write permissions
    > SWIFT Interface configuration procedure failed
    > Simprim Smart-Models compiled to C:\Xilinx\ISE\verilog\mti_se\simprims_ver

==============================================================================
AMAP: Adding library mapping `C:\Xilinx\ISE\verilog\mti_se\simprims_ver\simprims_ver.lib'

ALOG: Error: Unknown switch: -source (for vlog)

ALOG: Error: Unknown switch: -source (for vlog)

==============================================================================

    > Log file C:\Xilinx\ISE\verilog\mti_se\simprims_ver\cxl_smartmodel.log generated
    > Library mapping successful, setup file(s) modelsim.ini updated

compxlib[smartmodel]: 2 error(s), no warning(s)

**************************************************************************
*                         COMPILATION SUMMARY                            *
*                                                                        *
*  Simulator used: mti_se                                                *
*  Compiled on: Thu May 31 15:18:15 2007                                 *
*                                                                        *
**************************************************************************
*     Library      |  Lang   |  Mapped Name(s)   | Err#(s)  |  Warn#(s)  *
*------------------------------------------------------------------------*
*  unisim          | verilog | unisims_ver       | 1        | 0          *
*------------------------------------------------------------------------*
*  simprim         | verilog | simprims_ver      | 1        | 0          *
*------------------------------------------------------------------------*
*  XilinxCoreLib   | verilog | XilinxCoreLib_ver | 1        | 0          *
*------------------------------------------------------------------------*
*  smartmodel      | verilog | unisims_ver       | 2        | 0          *
*------------------------------------------------------------------------*
*  smartmodel      | verilog | simprims_ver      | 2        | 0          *
*------------------------------------------------------------------------*

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Посмотри переменные среды, после установки они могли измениться... и еще сам modelsim.ini

 

Переменные среды естестевенно меняются и установлены правильно:

LMC_HOME = 'c:\Xilinx\ISE\smartmodel\nt\installed_nt'

 

он ругается:

ALIB: Library `unisims_ver' attached

unisims_ver = C:\Xilinx\ISE\verilog\mti_se\unisims_ver\unisims_ver.lib

AMAP: Adding library mapping `C:\Xilinx\ISE\verilog\mti_se\unisims_ver\unisims_ver.lib'

ALOG: Error: Unknown switch: -source (for vlog)

 

на сайте xilinx я подобного вопроса не нашел.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто-нибдуь встречал ошибку Failure to license for PE Swift Interface в Modelsim, или это я такой везучий?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не стал новую тему создавать, чтобы все в 1 месте было, никак не могу сгенерировать библиотеки в xilinx 14.4

там вообще нет пункта Compile SmartModels

post-18343-1360657864_thumb.jpg

все делаю по инструкции но вылазит ошибка

 ERROR:Compxlib - COMPXLIB[sim]: Unable to automatically find executables for simulator 'mti_se' from the following paths:

я так понял дело в том что она не видит Modelsim, что то там в интернете толкуют про переменные среды но где они и что с ними делать понятия не имею, в общем помогите пожалуйста

 

    ____  ____
   /   /\/   /
  /___/  \  /    VENDOR      : Xilinx Inc.
  \   \   \/     VERSION     : 14.4 (P.49d)
   \   \         APPLICATION : C:\Xilinx\14.4\ISE_DS\ISE\bin\nt64\unwrapped\compxlib.exe
   /   /         CONTENTS    : Compilation Log
  /___/   /\     FILENAME    : compxlib.log
  \   \  /  \    
   \___\/\___\

Release 14.4 - C:\Xilinx\14.4\ISE_DS\ISE\bin\nt64\unwrapped\compxlib.exe 14.4 (nt64)
Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.

Processing command line ...
Reading the compxlib configuration file - 'compxlib.cfg' ...
Library Source Paths => 'C:/Xilinx/14.4/ISE_DS/ISE'
Current Working Directory => 'C:\Xilinx\PROJECTS\XILINX_ISE\TestLAB_Verilog'
Compilation Mode = FAST
Execute Mode = ON
Scheduling library installation & compilation for architectures: spartan3

Scheduling library installation & compilation for libraries: edk

Signature:-
------------------------------------------------------------------------------
C:\Xilinx\14.4\ISE_DS\ISE\bin\nt64\unwrapped\compxlib.exe -s mti_se -l verilog -dir C:/Xilinx/PROJECTS/XILINX_ISE/TestLAB_Verilog/LIB -p C:/Xilinx/modelsim/modelsim_ase/win32aloem/ -arch spartan3 -lib edk -exclude_superseded -intstyle ise 
------------------------------------------------------------------------------
ERROR:Compxlib - COMPXLIB[sim]: Unable to automatically find executables for simulator 'mti_se' from the following paths:
    C:/Xilinx/modelsim/modelsim_ase/win32aloem/
   C:\Xilinx\14.4\ISE_DS\ISE\\lib\nt64
   C:\Xilinx\14.4\ISE_DS\ISE\\bin\nt64
   C:\Xilinx\Vivado_HLS\2012.4\bin
   C:\Xilinx\14.4\ISE_DS\ISE\bin\nt64
   C:\Xilinx\14.4\ISE_DS\ISE\lib\nt64
   C:\Xilinx\14.4\ISE_DS\ISE\..\..\..\DocNav
   C:\Xilinx\Vivado\2012.4\bin
   C:\Xilinx\14.4\ISE_DS\PlanAhead\bin
   C:\Xilinx\14.4\ISE_DS\EDK\bin\nt64
   C:\Xilinx\14.4\ISE_DS\EDK\lib\nt64
   C:\Xilinx\14.4\ISE_DS\EDK\gnu\microblaze\nt64\bin
   C:\Xilinx\14.4\ISE_DS\EDK\gnu\powerpc-eabi\nt64\bin
   C:\Xilinx\14.4\ISE_DS\EDK\gnuwin\bin
   C:\Xilinx\14.4\ISE_DS\EDK\gnu\arm\nt\bin
   C:\Xilinx\14.4\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin
   C:\Xilinx\14.4\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin
   C:\Xilinx\14.4\ISE_DS\common\bin\nt64
   C:\Xilinx\14.4\ISE_DS\common\lib\nt64
   C:\Program Files (x86)\AMD APP\bin\x86_64
   C:\Program Files (x86)\AMD APP\bin\x86
   C:\Windows\system32
   C:\Windows
   C:\Windows\System32\Wbem
   C:\Windows\System32\WindowsPowerShell\v1.0\
   C:\Program Files (x86)\ATI Technologies\ATI.ACE\Core-Static
   C:\Xilinx\modelsim\modelsim_ase\win32aloem.
Simulator 'mti_se' is ignored.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У вас прописан путь к modelsim_ase, а не к modelsim_se, который должен быть установлен из отдельного дистрибутива.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...