Перейти к содержанию
    

QuartusII 6.1 web Помощь начинающим

Цитата из другой ветки форума:

Касательно освоения ПЛИС, если есть поннимание цифровой схемотехники, первый проект можно сваять дня за два в обычном редакторе схем.

- В примитивах нашел ряд 74хх девайсов. Значит ли это, что собрав схему на этих функциях, она будет работать так же, как и на самих микросхемах, только на скоростях самой плис? Понимаю, что если использовать мегафункции, то будет чем-то лучше, но при первом знакомстве совершенно не понятно, что именно делает и как работает каждая конкретная функция.

Просто логику нужной схемы примерно представляю и вполне могу сваять ее на 74хх, главное, чтобы по скорости это было лучше, чем на рассыпухе, тем более, что на рассыпухе получится немалый размер.

- Два дня еще не возился, может и сам пойму... нарисовал схемку, скомпилировалась, не захотела запускать симулятор, сказала, что не знает, где его найти :( я так понимаю, что это надо копать в опциях проекта, ну да ладно, не это главное. Больше интересует при симуляции, как задать массив входной функции, чтобы увидеть, что будет происходить на выходе. И еще: все это будет работать с СДРАМ, можно ли в симуляторе и это учесть?

- Лазил по форуму, так и не понял, есть ли нормальное русское описание квартуса в природе? То, что лежит на ЭФО слишком общее, хотелось бы просто понять, из чего состоит проект (согласен, это на презентации ЭФО нарисовано) и ДЛЯ ЧЕГО нужны различные его части (этого там не написано, или я не внимательно смотрел). Хотелось бы просто книжку или статью с ОДНИМ примером не на базе всяких xHDL, а на базе принципиальной схемы с описанием того, что и зачем в этом проекте нужно.

Просто это, возможно, моя тупость, но в свое время все изучение програмирования меня сильно удручало в самом начале: есть код для получения Хелоу Ворд, но нигде на первых страницаз не написано, что для того, чтобы это заработало, нужно определить стандартный ввод-вывод, даже у Кернигана с Ритчи это явно не указано, а уж когда я попробовал что-то собрать это же в консоли, а не с помощью IDE (где достаточно нажать кнопку для сборки и запуска отладчика), так вообще оказалось, что еще и makefile нужен, и много чего еще :) то же самое с контроллерами: все хорошо, все понятно, первый проект - мигание светодиода... НО если не определить ногу контроллера как выход, то нифига не получится, а с АРМами так там не только ножки нужно инициализировать...

Вот и хочется простой проект, где все это понятно и прозрачно, или даже описано в книжке или статье.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

- Два дня еще не возился, может и сам пойму... нарисовал схемку, скомпилировалась, не захотела запускать симулятор, сказала, что не знает, где его найти :( я так понимаю, что это надо копать в опциях проекта, ну да ладно, не это главное. Больше интересует при симуляции, как задать массив входной функции, чтобы увидеть, что будет происходить на выходе. И еще: все это будет работать с СДРАМ, можно ли в симуляторе и это учесть?

 

А вы уверены что симулятора. Quartus находит свой симулятор по умолчанию. Может вы просто не создали в проэкте файл "симуляции" *.vmf

 

Самое распространенное " О.И.Стрельников Руководство по проектированию цифровых устройств с использованием САПР ПЛИС Quartus II"

самое короткокое полный цикл с примером

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вы уверены что симулятора. Quartus находит свой симулятор по умолчанию. Может вы просто не создали в проэкте файл "симуляции" *.vmf

Проект создавал мастером и там поставил галку на использование ModelSim, он у меня стоит, возможно, надо было еще чего-то сделать, ладно, приеду домой, создам новый проект.

Самое распространенное " О.И.Стрельников Руководство по проектированию цифровых устройств с использованием САПР ПЛИС Quartus II"

самое короткокое полный цикл с примером

За это спасибо, есть азы, а это и хотелось

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Судя по Вашим вопросам, Вы только начинаете общение с FPGA (CPLD) и Quartus-ом. Если это так, то прислушайтесь:

- не используйте 74хх библиотеку. На это есть ряд причин - многое реализованно далеко неоптимально, многое просто некорректно (некоторые компоненты работают не совсем так, как 74хх прототипы, некоторые совсем не так); библиотека давным-давно не поддерживается, если не ошибаюсь, с момента объединения Quartus и MaxPlus, и включается в поставку только для обеспечения совместимости со старыми проектами. Пользуйтесь MegaWizard, даже если это и потребует больше времени - на все функции есть прекрасные HELP файлы;

 

Проект создавал мастером и там поставил галку на использование ModelSim, он у меня стоит, возможно, надо было еще чего-то сделать, ладно, приеду домой, создам новый проект.

 

- как новичок не трогайте ModelSim - прога довольно сложная, ИМХО недружелюбная и ее изучение требует отдельных усилий и времени. Кроме того надо писать TestBenches, а, судя по Вашим вопросам, Вы в HDL языках не секёте (пожалуйсиа не обижайтесь - ничего личного тут нет, просто естественный процесс обучения и узнавания). В Quartus есть неплохой симулятор, вполне пригодный для несложных проектов и обучения, вот с него и начинайте.

 

Успехов

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Судя по Вашим вопросам, Вы только начинаете общение с FPGA (CPLD) и Quartus-ом.

Совершенно верно и даже не уверен, что сейчас буду этим заниматься, просто в проекте без плис не обойтись, сейчас ТЗ сделаю и буду либо сам впрягаться, если разберусь, либо будем привлекать кого-то со стороны.

Если это так, то прислушайтесь:

- не используйте 74хх библиотеку. На это есть ряд причин - многое реализованно далеко неоптимально, многое просто некорректно (некоторые компоненты работают не совсем так, как 74хх прототипы, некоторые совсем не так); библиотека давным-давно не поддерживается, если не ошибаюсь, с момента объединения Quartus и MaxPlus, и включается в поставку только для обеспечения совместимости со старыми проектами. Пользуйтесь MegaWizard, даже если это и потребует больше времени - на все функции есть прекрасные HELP файлы;

В текущий момент мне просто надо определиться, что и как там будет работать, рабочий проект будет делаться после (см. чуть выше) будут ли проблемы, если я сейчас просто на 74хх нарисую схему, чтобы понять, хватит ли ресурсов плис? или по ресурсам я тоже могу пролететь? просто на 74хх я действительно нарисую это за два дня, а на хелп уйдет еще два дня... хотя, может, стоит начать правильно, чтобы небыло как в старом анектоде: мне некогда точить топор, мне надо рубить лес :)

- как новичок не трогайте ModelSim - прога довольно сложная, ИМХО недружелюбная и ее изучение требует отдельных усилий и времени. Кроме того надо писать TestBenches, а, судя по Вашим вопросам, Вы в HDL языках не секёте (пожалуйсиа не обижайтесь - ничего личного тут нет, просто естественный процесс обучения и узнавания). В Quartus есть неплохой симулятор, вполне пригодный для несложных проектов и обучения, вот с него и начинайте.

Успехов

Совершенно верно, не секу и обижаться на правду не собираюсь, найду время, буду изучать. Сейчас спасибо за толчки в правильном направлении. Буду рад любым пинкам, которые направят на путь истины... тока не больно :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спокойно используйте 74хх серию, в крайнем случае можете посмотреть как оно внутри реализовано - просто открыв через File->Open схему нужной 74хх... Основное отличие будет в том, что нет асинхронных элементов (например счетчиков) - т.е. тот же 7493 например внутри окажется синхронным. И вообще в ПЛИСостроении использование в качестве клока выходного сигнала какой-то внутренней ячейки считается дурным тоном. Используйте один общий клок и входы разрешения, подавая на них сигналы в нужные моменты времени, и проблем у Вас не будет.

 

Что касается оптимальности/неоптимальности - абсолютно все равно, опишите Вы на языке, или нарисуете в схематике, синтезатор это все "заплющит" по-своему.ъ

 

Симулятор используйте встроенный в квартус. Для схем такого уровня, которые можно нарисовать на 74хх элементах его за глаза хватит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...