Перейти к содержанию
    

USB-to-JTAG шнурок для XILINX

Кстати, по поводу прошивки XC2C64-100. В дллке libImpactCommunications.dll, отвечающей за коммуникацию с жтагом упоминается файл xusbcpld.fmwr, который лежит в C:\Xilinx\data. Рядом с ним находятся два файла такой же самой длины xusb_xlp.fmwr и xusb_xup.fmwr. Обращение к xusbcpld.fmwr напрямую связанно с апгрейдом прошивки. Это наверняка файл битстрима. Кто бы посмотрел и сказал, чем его можно залить в чистую XC2C64? Т.е какое у него должно быть стандартное расширение, чтобы его поняли хилинховские тулзы?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

там ничего интересного нету - кроме VID&PID - можно даже не читать 24хх, а каким-нить USB-monitor'ом, глянуть кто с какими VID&PID на шине висит
Точно? Есть подозрение, что за пределами вид-пид там еще что-то связанное с сериальником в далласе сидит. Может все-таки считать?

не удается считать 24хх кипарисными тузлами((.. даже на машине, где не стоит ISE c его дровами для шнурка.. чтобы сделать, я так полагаю нужен драйвер с исправленным VID (т.е. драйвера от cypress не подошли)

вот что насчет VID&PID и прочего пишет USBVIEW.EXE (Microsoft)

Device Descriptor:
bcdUSB:             0x0200
bDeviceClass:         0x00
bDeviceSubClass:      0x00
bDeviceProtocol:      0x00
bMaxPacketSize0:      0x40 (64)
idVendor:           0x03FD (Xilinx Inc.)
idProduct:          0x0008
bcdDevice:          0x0000
iManufacturer:        0x01
iProduct:             0x02
iSerialNumber:        0x00
bNumConfigurations:   0x01

ConnectionStatus: DeviceConnected
Current Config Value: 0x02
Device Bus Speed:     Full
Device Address:       0x02
Open Pipes:              2

Endpoint Descriptor:
bEndpointAddress:     0x02
Transfer Type:        Bulk
wMaxPacketSize:     0x0200 (512)
bInterval:            0x00

Endpoint Descriptor:
bEndpointAddress:     0x00
Transfer Type:     Control
wMaxPacketSize:     0x0507 (1287)
wInterval:          0x0286
bSyncAddress:         0x00

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

не удается считать 24хх кипарисными тузлами((.. даже на машине, где не стоит ISE c его дровами для шнурка.. чтобы сделать, я так полагаю нужен драйвер с исправленным VID (т.е. драйвера от cypress не подошли)

вот что насчет VID&PID и прочего пишет USBVIEW.EXE (Microsoft)

Это уже слишком поздно - энумерация прошла, ВИД-ПИД сменился на тот, что в фирмвари. Надо либо в ципресовский ini записать тот вид-пид, что кабель отдает до заливки фирмвари, или поставить перемычку, ту которую возле 24с04, грузануться под дефолтовым вид-пид цупреса, потом перемычку снять на-горячую и считать епромину.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

to khach

 

туплю.. точно) выпаял R35, впаял джампер JP5 - сайпрессовская тузла схавала - но только что-то я там не вижу "считать EEPROM" - присутствует только "загрузить EEPROM" :(

 

ps: не понял насчет XC2C64, вроде и в Spartan-3E Starter Kit и в DLC9 используется XC2C256

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

to khach

ps: не понял насчет XC2C64, вроде и в Spartan-3E Starter Kit и в DLC9 используется XC2C256

Тоже туплю :-( аналогично. Конечно XC2C256. Схему переделывать ненадо, тк по ногам они точно такие же. Тогда и с xusbcpld.fmwr становится понятно- это обычный xsvf, по крайней мере impact его прожевал

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...Хочется получить железку в продаже от 30 до ??? долл. Так чтобы ее каждый смог купить, пусть даже россыпью в виде набора или полностью смонтированную.

так вот трабла как раз-таки не с изготовлением, а с модифицированием устройства так, чтобы минимизировать конечную стоимость.

ибо стоимость компектухи XC2C256 (20уе) + 100-ногая FX2 (11..13уе) - это уже больше "30 долл"

 

в идеале хотелось хотелось бы не без помощи Antti докопаться до логики работы начинки CPLD и засунуть ее либо в прошивку FX2, либо крипто-часть туда, а на какой-нить трёхдолларовой CPLD (XC9536XL) собрать честный parallel-to-serial (дабы скорость для приложений ко-симуляции не страдала).

 

 

to all

PS: всеже бОльший смысл имеет ориентироваться на USB-to-JTAG шнурок основанный на дизайне Spartan-3E Starter Kit, нежели DLC9:

и сама разработка свежее (2006 vs 2004) да и отсутствует DS2411. + минимизация обвески

(да и в USB-monitor он называется по-честному "Xilinx Platform Cable USB")

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

IMPACT обновил мой шнурок в КИТе

странная штука:

post-778-1161154509_thumb.jpg

и действительно процедура заняла порядка 10минут

с чего бы так долго?!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кстати, по поводу прошивки XC2C64-100. В дллке libImpactCommunications.dll, отвечающей за коммуникацию с жтагом упоминается файл xusbcpld.fmwr, который лежит в C:\Xilinx\data. Рядом с ним находятся два файла такой же самой длины xusb_xlp.fmwr и xusb_xup.fmwr. Обращение к xusbcpld.fmwr напрямую связанно с апгрейдом прошивки. Это наверняка файл битстрима. Кто бы посмотрел и сказал, чем его можно залить в чистую XC2C64? Т.е какое у него должно быть стандартное расширение, чтобы его поняли хилинховские тулзы?

 

Это файлы в формате xsvf, которые можно лить с помощью iMPACT'a.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

IMPACT обновил мой шнурок в КИТе

 

и действительно процедура заняла порядка 10минут

с чего бы так долго?!

А дрыгоножество JTAGа XC2C256 реализованно полностью программно, и скорее всего в длл, а не в CY7C68. Из-за этого каждый такт TCK- отдельная транзакция по USB.

Содержимое епромины удалось считать? Поделитесь дампом PLS.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На просторах всемирного наткнулся вот на такую платку: XEM3001 - Xilinx Spartan-3 Integration Module

Насколько понял, бегло прочтя ее описание, встроенный USB интерфейс может выполнять две функции: загрузочный кабель/модуль интерфейса FPGA к PC.

Может у кого есть такое чудо, хотелось бы посмотреть на схему, и на содержимое епром сайпреса.

На форуме их написано, что схема и прошивки доступны только лицензированным юзверям :blush:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Содержимое епромины удалось считать? Поделитесь дампом PLS.

скажите _как_ : и считаю...

 

 

На просторах всемирного наткнулся вот на такую платку: XEM3001 - Xilinx Spartan-3 Integration Module

Насколько понял, бегло прочтя ее описание, встроенный USB интерфейс может выполнять две функции: загрузочный кабель/модуль интерфейса FPGA к PC.

да вот и мне тоже поводу темы подумалось: м.б. мы чересчур идеалисты и желаем всего и сразу?!

В москве можно купить такую платку:

Xilinx® Spartan™-3E Evaluation Kit {link: http://www.em.avnet.com/evk/home/0,1719,RI...253DEVK,00.html

Фактически, там из элементов токма S3e-100K в TQ144, SPI-флеш & FX2, платка эта Авнетовская и для ее программирования и работы с нею он предоставляет собственные утилиты.

Возможны: Прогрузка ПЛИС напрямую, стирание SPI-флеш, программирование SPI-флеш, считывание SPI-флеш, загрузка новой прошивки в FX2. Т.е. основные функции для успешной работы с ПЛИС. Есть эл.схема.

 

Что характерно исходный код Windows-приложения открыт и поставляется в составе дистрибутива. Насчет кода прошивки FX2: по-моему он тоже есть, а если и нету, то он в любом случае "встроен" внутрь драйвера "шнурка" и грузится при каждом подключении по USB.

На поставляемом диске есть утилиты для других семейств ПЛИС: VirtexII, Virtex - т.е. на основании исходников разных семейств, можно было бы обобщить информацию и сделать нечто универсальное.

 

Ну а что до поддержки родными САПР: то у _неродного_ решения преимущества два, но огромных (особенно для того, чтобы оно стало _народным_): затраченные усилия + себестоимость шнурка (FX2 + кварц + плата + разъемUSB)

 

ЗЫ: весьма интересно: западный бюджетный шнурок на USB для программирования Xilinx за 38уе ( http://www.digilentinc.com/Products/Detail...amp;Nav2=Cables ) не поддерживается родными тузлами. Т.е. вроде как буржуи этим не особо заморачиваются. И есть большая вероятность того, что собран он на FX2.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Содержимое епромины удалось считать? Поделитесь дампом PLS.

скажите _как_ : и считаю...

Ээээ, да, действительно проблема. Прийдется перекомпилять EzMr, благо исходники доступны. Правим в EzMrView.cpp функцию int CEzMrView::LoadEEPROM(). Там есть вычитка для проверки записи. Судя по исходникам лоадера Vend_Ax.c функция чтения еепром незатерта.

#define    VR_UPLOAD        0xc0
#define VR_DOWNLOAD        0x40
#define VR_EEPROM        0xa2 // loads (uploads) EEPROM

Значит надо затереть первый вызов SendOp(OP_VEND_REQST), а второй сработает и вычитает содержимое. Можно также попоавить длину вычитки до неообходимой. Или перепотрошить функцию void CEzMrView::OnIDC_EPROM_LD()- это заливка файла в епром, там чтения непредусмотренно.

 

Можно конечно приподнять две ножки епромины и вычитать ее чере ЛПТ любым доступным способом.

 

да вот и мне тоже поводу темы подумалось: м.б. мы чересчур идеалисты и желаем всего и сразу?!

В москве можно купить такую платку:

Xilinx® Spartan™-3E Evaluation Kit {link: http://www.em.avnet.com/evk/home/0,1719,RI...253DEVK,00.html

Этих платок огромная куча, у многох есть хайспед ЮСБ. Только нету совместимости с chipscope :-(. Написать свой загрузчик можно элементарно ( по мотивам http://www.xilinx.com/bvdocs/appnotes/xapp058.pdf и прилогаемых исходников ftp://ftp.xilinx.com/pub/swhelp/cpld/eisp_pc.zip). А вот отлаживать проект без чипскопа- проблематично, если проект сложнее диодоморгалки. Поэтому и идет война за клон.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спустя 2 года :))

Проверил, работает, можно собирать.

Один момент - в схеме Александра (knach) младший байт шины адреса, надо отзеркалить.

 

чипскопа у меня не оказалось, завтра скачаю проверю с ним еще.

 

выглядит примерно как по ссылке. это модель. но в жизни несильно отличается.

 

http://electronix.ru/forum/index.php?showtopic=54544

 

кому надо. гербера могу положить...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...