Перейти к содержанию
    

Есть ли возможность определить в какой среде выполняется тестбенч на verilog?

Хочется вот чего:

        `ifdef ICARUS
            $finish;
        `else
            $stop;
        `endif

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Задача не понятна. Если отвечать на вопрос в теме, то он положительный.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У вас ведь есть какой то лаунчер, мейкфайл, который компилит строку вызова под конкретный симулятор (icarus, modelsim, SimVision, vcs). Ну вот и генерите в зависимости от тула какой нибудь def файл с нужными дефайнами/параметрами.
Если же лаунчера нет, напишите. Самое простое - Makefile. Более сложное - компилятор, генерирующий Makefile (и не только). Это все, если вы работаете под линуксом. Если же винда .. врятли вы дождетесь дельного совета

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну почему, если вынь, то сразу сексизм? Вот, человек под вынью скрипт запускает: https://habr.com/ru/articles/829838/ и передаёт ему требуемые аргументы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/31/2024 at 7:59 AM, Вадим Н. said:

Хочется вот чего:

GitHub:

`ifdef VCS
      $display("Synopsis VCS");
`endif
`ifdef INCA
      $display("Cadence NC-Verilog");
`endif
`ifdef MODEL_TECH
      $display("Mentor Graphics ModelSIM/Questa");
`endif
`ifdef XILINX_ISIM
      $display("Xilinx ISE Simulator");
`endif
`ifdef XILINX_SIMULATOR
      $display("Xilinx Vivado Simulator");
`endif
`ifdef __ICARUS__
      $display("Icarus Verilog <http://iverilog.icarus.com>");
`endif
`ifdef VERILATOR
      $display("Verilator <https://www.veripool.org/wiki/verilator>");
`endif
`ifdef Veritak
      $display("Veritak <http://www.sugawara-systems.com>");
`endif

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

всем спасибо!

не докапал до предопределённого дефайна __ICARUS__ )))

отчасти потому что нашёл опцию интерпретатора vvp-файлов Икаруса 

vvp -h
....
-n             Non-interactive ($stop = $finish).

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...