Перейти к содержанию
    

Список исходников для проекта в Vivado

В квартусе все исходники для проекта удобно описать в *.qip файле, и потом только этот qip файл один раз добавить в проект.

В Vivado как это можно сделать также?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Совсем так же не получится. Там можно создать TCL-файл развертывания проекта и затем заполнить вручную соответствующую его часть ссылками на HDL-файлы. Но этот файл можно будет применить только при развертывании/создании проекта на новом месте. Использовать его для редактирования списка файлов в процессе дальнейшей работы с проектом не получится (в отличие от QIP-file).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да, добавление исходников можно автоматизировать.

Перечисляете свои исходники в TCL скрипте примерно так

add_files -fileset sources_1 'file1.sv'
add_files -fileset sources_1 'file2.svh'
update_compile_order -fileset sources_1

Потом в TCL консоли Vivado запускаете этот скрипт

pwd
cd ./my_prj_dir/scripts
source 'add_my_files.tcl'

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/23/2024 at 4:15 PM, pavlovconst said:

Да, добавление исходников можно автоматизировать.

Перечисляете свои исходники в TCL скрипте примерно так

add_files -fileset sources_1 'file1.sv'
add_files -fileset sources_1 'file2.svh'
update_compile_order -fileset sources_1

Потом в TCL консоли Vivado запускаете этот скрипт

pwd
cd ./my_prj_dir/scripts
source 'add_my_files.tcl'

 

есть разница для синтеза и для симуляции?

просто vivado разделяет при добалении исходников описаний 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 hours ago, Maverick_ said:

есть разница для синтеза и для симуляции?

просто vivado разделяет при добалении исходников описаний 

По умолчанию добавленные файлы используются и для синтеза, и для симуляции.

Можно дополнительно поиграть со свойствами

set_property used_in_synthesis false [get_files path_to/my_file.sv]

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...