Перейти к содержанию
    

Где можно найти программу трансляции verilog в vhdl

Для моделирования нужно странслировать код из Верилога в VHDL.

Где можно найти такую утилиту?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для моделирования нужно странслировать код из Верилога в VHDL.

Где можно найти такую утилиту?

 

Есть поиск (и здесь тоже!). Уже обсуждались такие программы (вроде как минимум три).

 

Одна из них (вообщем-то жизнеспособная) завется X-HDL.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Будьте очень осторожны с теми. Некоторые из них изменят синтаксис и таким образом изменят поведение вашего кодекса. Лучше всего перевести вручную

 

Почему не только компания моделирует с Моделсим?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Будьте очень осторожны с теми. Некоторые из них изменят синтаксис и таким образом изменят поведение вашего кодекса. Лучше всего перевести вручную

100 % правда! Недавно сам такое пробовал толку ноль!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Программа называется XHDL (есть на ftp). Т.к. не люблю VHDL, часто пользуюсь конвертацией в Verilog. Но причёсывание требуется. Почти всегда.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

X-HDL одобрено, однако, вы будете неподвижная потребность сделать некоторые коррекции синтаксиса, настолько becareful. Вы все еще более лучшие с чо-imitirovat6

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...