Перейти к содержанию
    

Некорректно отрабатывает приемник SDI (IP Core SDI II)

Здравствуйте, уважаемые форумчане

реализовал выход Sdi и вход на одном блоке

реализация такая:
 блок выполнен в виде 2х плат:
- основная плата, где стоит Плис, выведены пины с Xcvr приемника и передатчика на разъем
- канальный уровень Sdi реализован в Плис на основе IP Core SDI II, как приемопередатчик в режиме 3G

- вспомогательная плата, на ней стоит разъем для связи с основной платой, реализация физического уровня на 2х микросхемах LM0387, одна сконфигурирована, как передатчик, другая как приемник
- жгут, соединяющий основную и вспомогательную плату, а так же кабель Рк-75, который вытягивает SDI Rx и Tx на Bnc разъем крышки блока

сейчас передача со стороны блока идет всегда корректно, но прием всегда со сбоями:
- если замыкаем вход на выход на крышке блоке кабелем, либо через монитор lilipot, где есть sdi вход, а также петля на выход со входа, то в некоторых случаях корректно работает, бывает искажения изображения в виде промаргивания или исчезновения, затем заполнение экрана каким-либо цветом, и появление опять верной картинки
- если брать внешний выход с SDI, например, Roi-Dvi, то всегда идет, как заполнение экрана каким-либо цветом сверху вниз в случайном порядке, нормальной картинки при приеме не добиться

image.thumb.png.f7b0010f76c095c7b4f710b671596994.png

В Плис IP модуль сконфигурирован под 3G-SDI(qsys файл прикладываю sdi_converter.qsys)

при этом констрейны стандартные, которые идут к самому модулю, а также на тот клок, которым я стробирую данные на Clockes Video Input

{sdi_converter_inst|sdi_ii_0|u_phy|gen_native_inst.av_xcvr_native_insts[0].gen_bonded_group_native.av_xcvr_native_inst|inst_av_pcs|ch[0].inst_av_pcs_ch|inst_av_hssi_8g_rx_pcs|wys|rcvdclkpma}] -divide_by 2 [get_registers {sdi_doublepix_clk}]

у меня следующие вопросы:

1. был ли у кого опыт работы с данной коркой:

- как она отрабатывала на прием?

- какие модули для SDI 3G использовали?

- не могли бы поделиться проектом, тестовым или рабочим, чтобы можно было сравнить


2. т.к. из-за стремления к универсальности пришлось разбить SDI на подмодули, поэтому могут быть проблемы с приемом SDI на уровне:

- жгута между основной и второстепенной платой - все SDI на прием только свиты, шаг минимально возможный, длина кабеля 20см - может еще что необходимо, например, экранировать и каким образом?

- подключением самого приемопередатчика LMH0387 и его конфигурация

  * питание на микросхему поступает с импульсника, а не линейника - критично ли это?

  * есть ли у кого опыт с данной микросхемой, были ли может кто кусок схемы скинет 

- кабель РК75,который идет от второстепенной платы к BNC разъемам крышки имеет в 3х местах изгибы в 90 град - допустимо ли это для SDI?

 

бьюсь с этой проблемой уже мес 3... пока не получается сдвинуться...

спасибо, буду рад любой помощи

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

IP ядро использует трансивер? У трансивера, поправьте если ошибаюсь, нет ли случайно возможности построить "глазковую диаграмму" того что он принимает? Я этим не пользовался, просто читал, возможно есть готовый софт, который быть может как то по JTAG к этому подключается чтобы смотреть, а может это плод моей фантазии

 

Но если мне не показалось, это могло бы помочь. Или попробовать пустить вместо SDI потока сначала какой то свой поток, не SDI стандарта, а просто свой паттерн и убедиться что потерь никаких нет и сбоев нет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...