Перейти к содержанию
    

Quartus II. FLEX10K. Single port RAM

Maverick_, и такой код собирается с использованием логики. Блочная память совсем не используется.

Видимо какой-то баг синтезатора в Quartus 9.0.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У памяти нет сброса. Со сбросом, есстественно, собираться будет на триггерах.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

выкладывать полный проект надо и те у кого есть старый квартус посмотрят, наверное

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, srf55 said:

Maverick_, и такой код собирается с использованием логики. Блочная память совсем не используется.

Видимо какой-то баг синтезатора в Quartus 9.0.

у Вас память описана как компонент/модуль?

в проект подключается как компонент/модуль?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

xilinx смог осилить только так (без асинхронного сброса) в блочную память

always @ (posedge clock)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

завтра выложу у меня вроде память в коде была и работала.
пришлось помучаться и заработало.
если в закромах дома не найду во вторник выложу.

только у меня VHDL =/ но думаю логика такая же.
там загвоздка в синхронности/асинхронности памяти.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ещё на это взгляните: https://www.radiokot.ru/forum/viewtopic.php?f=60&t=140893

Приложил в скрепке на вс. случай

Форум РадиоКот • Просмотр темы - Quartus - Verilog - как задать способ синтеза RAM_.pdf

А в одном проекте, что достался мне от предшественника, есть такая строка:

(* ramstyle = "no_rw_check" *) reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH - 1:0];

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 hours ago, Alex77 said:

xilinx смог осилить только так (без асинхронного сброса) в блочную память

Вы тему читаете или только сюда пишете? У блочной памяти нет сброса, тем более асихронного.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 29.04.2023 в 08:00, andrew_b сказал:

Вы тему читаете или только сюда пишете? У блочной памяти нет сброса, тем более асихронного.

Ежу понятно... 😉

но если "сброс" сделать синхронным, то это превращается в запись "0" по выбранному адресу.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

17 часов назад, tegumay сказал:

Была дцать лет назад тема в тему (я брал оттуда)

 

 

Спасибо! Действительно, тема один в один))

Выходит, что не получится на verilog написать такой модуль памяти. Придется использовать LPM.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

7 hours ago, tegumay said:

ну или написать на VHDL а потом через обертку в Verilog

От языка не зависит. Оба языка позоляют написать код, идентичный по функциональности.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...