jenya7 0 28 февраля, 2022 Опубликовано 28 февраля, 2022 (изменено) · Жалоба Есть ADC core component adc_qsys is port ( adc_1_command_valid : in std_logic := '0'; -- adc_1_command.valid adc_1_command_channel : in std_logic_vector(4 downto 0) := (others => '0'); -- .channel adc_1_command_startofpacket : in std_logic := '0'; -- .startofpacket adc_1_command_endofpacket : in std_logic := '0'; -- .endofpacket adc_1_command_ready : out std_logic; -- .ready adc_1_response_valid : out std_logic; -- adc_1_response.valid adc_1_response_channel : out std_logic_vector(4 downto 0); -- .channel adc_1_response_data : out std_logic_vector(11 downto 0); -- .data adc_1_response_startofpacket : out std_logic; -- .startofpacket adc_1_response_endofpacket : out std_logic; -- .endofpacket clk_clk : in std_logic := '0'; -- clk.clk reset_reset_n : in std_logic := '0' -- reset.reset_n ); end component adc_qsys; U_ADC_SYS : adc_qsys port map ( clk_clk => s_pll_clk_10M, reset_reset_n => '1', adc_1_command_valid => adc1_com_valid, adc_1_command_channel => adc1_com_channel, adc_1_command_startofpacket => adc1_com_startofpacket, adc_1_command_endofpacket => adc1_com_endofpacket, adc_1_command_ready => adc1_com_ready, adc_1_response_valid => adc1_resp_valid, adc_1_response_channel => adc1_resp_channel, adc_1_response_data => adc1_resp_data, adc_1_response_startofpacket => adc1_resp_startofpacket, adc_1_response_endofpacket => adc1_resp_endofpacket ); раньше я использовал весь порт ADC1_IN1 - ADC1_IN8 и проблем не было. Теперь мне нужен только один аналоговый вход - ADC1_IN1. Остальные пины заняты другими задачами. Иду в кор и разрешаю только один канал, остальные галки снимаю. Но при компиляции вываливаются ошибки Quote Error (176310): Can't place multiple pins assigned to pin location Pin_7 (IOPAD_X0_Y37_N21) Info (176311): Pin LED_CMD[5] is assigned to pin location Pin_7 (IOPAD_X0_Y37_N21) Info (176311): Pin ~ALTERA_ADC1IN2~ is assigned to pin location Pin_7 (IOPAD_X0_Y37_N21) Error (176310): Can't place multiple pins assigned to pin location Pin_8 (IOPAD_X0_Y36_N14) Info (176311): Pin LED_CMD[6] is assigned to pin location Pin_8 (IOPAD_X0_Y36_N14) Info (176311): Pin ~ALTERA_ADC1IN3~ is assigned to pin location Pin_8 (IOPAD_X0_Y36_N14) Error (176310): Can't place multiple pins assigned to pin location Pin_10 (IOPAD_X0_Y36_N21) Info (176311): Pin LED_CMD[7] is assigned to pin location Pin_10 (IOPAD_X0_Y36_N21) Info (176311): Pin ~ALTERA_ADC1IN4~ is assigned to pin location Pin_10 (IOPAD_X0_Y36_N21) Error (176310): Can't place multiple pins assigned to pin location Pin_11 (IOPAD_X0_Y35_N14) Info (176311): Pin LED_CMD[8] is assigned to pin location Pin_11 (IOPAD_X0_Y35_N14) Info (176311): Pin ~ALTERA_ADC1IN5~ is assigned to pin location Pin_11 (IOPAD_X0_Y35_N14) Error (176310): Can't place multiple pins assigned to pin location Pin_12 (IOPAD_X0_Y35_N21) Info (176311): Pin LED_CMD[9] is assigned to pin location Pin_12 (IOPAD_X0_Y35_N21) Info (176311): Pin ~ALTERA_ADC1IN6~ is assigned to pin location Pin_12 (IOPAD_X0_Y35_N21) Error (176310): Can't place multiple pins assigned to pin location Pin_13 (IOPAD_X0_Y34_N14) Info (176311): Pin LED_TEST is assigned to pin location Pin_13 (IOPAD_X0_Y34_N14) Info (176311): Pin ~ALTERA_ADC1IN7~ is assigned to pin location Pin_13 (IOPAD_X0_Y34_N14) Error (176310): Can't place multiple pins assigned to pin location Pin_14 (IOPAD_X0_Y34_N21) Info (176311): Pin LED_FLTn is assigned to pin location Pin_14 (IOPAD_X0_Y34_N21) Info (176311): Pin ~ALTERA_ADC1IN8~ is assigned to pin location Pin_14 (IOPAD_X0_Y34_N21) Кор всё равно видит пины как активные. Как разрешить проблему? Изменено 28 февраля, 2022 пользователем jenya7 Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Realking 0 28 февраля, 2022 Опубликовано 28 февраля, 2022 · Жалоба так вроде в доке написано, что при использовании ADC core эти пины не доступны. Честно скажу не помню где это описано, но в памяти осталось. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
jenya7 0 28 февраля, 2022 Опубликовано 28 февраля, 2022 · Жалоба 4 minutes ago, Realking said: так вроде в доке написано, что при использовании ADC core эти пины не доступны я же могу галкой выбрать - "Use channel х" на каждый канал. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
andk 0 1 марта, 2022 Опубликовано 1 марта, 2022 · Жалоба https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/max-10/archives/ug-m10-adc-15.1.pdf Стр. 2-5 If you use bank 1A for ADC, you cannot use the bank for GPIO. Обсуждение было в Altera ADC core Автор: justontime, 25 сентября 2018 в Системы на ПЛИС - System on a Programmable Chip (SoPC) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
jenya7 0 1 марта, 2022 Опубликовано 1 марта, 2022 · Жалоба 54 minutes ago, andk said: https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/max-10/archives/ug-m10-adc-15.1.pdf Стр. 2-5 If you use bank 1A for ADC, you cannot use the bank for GPIO. Обсуждение было в Altera ADC core Автор: justontime, 25 сентября 2018 в Системы на ПЛИС - System on a Programmable Chip (SoPC) спасибо. печально конечно. мягко говоря. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться