Перейти к содержанию
    

Не понимаю код счётчика

3 hours ago, Nick_K said:

Но ребята пользуются Альтеровскими чипами и говорят, что там даже банальное always_comb не работает как нужно

Не знаю  что там и как не работает, но у меня куча always_comb  в коде для Qu и проблем вроде не было. 
Любые такие "страшилки" что де то что то не работает или не так синтезит надо бы разбирать с конкретными примерами.   

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Just now, andrew_b said:

Ну я же говорю, что на Верилогие наговнокодить легче лёгкого.

Если в голове разработчика  бурлит г...  то выплеснуть его в код без усилий можно на любом языке.  :bad:   

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ВХДЛ это бурление хоть как-то купирует, а Верилог подкидывает дрожжи.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

11.02.2022 в 19:10, Nick_K сказал:

Но ребята пользуются Альтеровскими чипами и говорят, что там даже банальное always_comb не работает как нужно (есть подозрение, что это про какие-то вложенные конструкции).

Из-за чего во всём коде после прототипинга стоят always@*, которые потом синтезируются трудноуловимыми Латчами.

Ни разу не помню проблем с always_comb. Да и вообще к синтезу как таковому претензий нет. Больше доставало падение в Internal Error при использовании modport expressions в интерфейсах, из-за чего приходилось переписывать рабочий код.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 2/11/2022 at 8:32 PM, new123 said:

а чем грозит такой подход? у меня как раз в одном месте такое. Поля типа logic. Какие то поля делаю always (clk)  .field <= value_1, а какие то поля assign .field = value_2

да ничем, если вы понимаете что делаете. Но в общем случае, присваивать одному вектору биты из always_ff/always_comb/always_latch запрещено стандартом. always@(clk)/always @(*)/assign пожалуйста. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 2/13/2022 at 2:37 PM, des00 said:

одному вектору биты из always_ff/always_comb/always_latch запрещено стандартом

понятно, спасибо. Я до таких штук еще не дорос. У меня по старинке assign и always

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Господа и дамы, а так же все присутствующие и отсутствующие, огромное спасибо за подробную информацию. Всё что здесь находится - находится на осмыслении и взятии на карандаш.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...