SemenSkor 0 29 сентября, 2021 Опубликовано 29 сентября, 2021 · Жалоба Добрый день! Нужно смоделировать поведение камеры в Model Sim . Есть готовое изображение. Нужно считать построчно значение яркости каждого пикселя и выдать эти значения в Wave в Model Sim. Это вообще возможно? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
andrew_b 16 29 сентября, 2021 Опубликовано 29 сентября, 2021 · Жалоба Да. А в чём сомнения? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
slkhome 0 30 сентября, 2021 Опубликовано 30 сентября, 2021 · Жалоба Делал такой тестовый компонент для считывания кадра из файла и дальнейшей передачей для обработки в RTL: entity video_generator_f is generic ( --default BIN file for XGA mode TEST_IMAGE_BIN_FILE : string := "./test-image/test-image-1024-768.bin"; C_FRAMES_NUMBER : natural := 8; --number of frames to generate C_TCO_delay : time := 1 ns; --Time Clock to Output --Default values (VESA XGA mode) G_CLOCK_PERIOD : time := 15.38 ns; --65 MHZ pixels clock G_H_ACTIVE : integer := 1024; -- pixels G_H_SYNCH_WIDTH : integer := 136; -- Width, pixels G_H_TOTAL_WIDTH : integer := 1344; -- pixels G_H_BACK_PORCH : integer := 160; -- pixels G_H_FRONT_PORCH : integer := 24; -- pixels G_V_ACTIVE : integer := 768; -- lines G_V_SYNCH_WIDTH : integer := 6; -- lines G_V_TOTAL_WIDTH : integer := 806; -- lines G_V_BACK_PORCH : integer := 29; -- lines G_V_FRONT_PORCH : integer := 3 -- lines ); port ( signal VCLK : out std_logic; signal R : out std_logic_vector (7 downto 0); signal G : out std_logic_vector (7 downto 0); signal B : out std_logic_vector (7 downto 0); signal VSYNC : out std_logic; signal HSYNC : out std_logic; signal DE : out std_logic ); end entity video_generator_f; Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться