Перейти к содержанию
    

Моделирование видеоматрицы в ModelSim

Добрый день! Нужно смоделировать поведение камеры в Model Sim . Есть готовое изображение. Нужно считать построчно значение яркости каждого пикселя и выдать эти значения в Wave в Model Sim. Это вообще возможно? 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Делал такой тестовый компонент для считывания кадра из файла и дальнейшей передачей для обработки в RTL:

entity video_generator_f is 
  generic (
    --default BIN file for XGA mode
    TEST_IMAGE_BIN_FILE : string := "./test-image/test-image-1024-768.bin";       
    C_FRAMES_NUMBER : natural := 8;     --number of frames to generate
    C_TCO_delay : time := 1 ns;         --Time Clock to Output
    
    --Default values (VESA XGA mode)
    G_CLOCK_PERIOD    : time := 15.38 ns; --65 MHZ pixels clock
    G_H_ACTIVE        : integer := 1024;  -- pixels    
    G_H_SYNCH_WIDTH   : integer := 136;   -- Width, pixels
    G_H_TOTAL_WIDTH   : integer := 1344;  -- pixels    
    G_H_BACK_PORCH    : integer := 160;   -- pixels
    G_H_FRONT_PORCH   : integer := 24;    -- pixels

    G_V_ACTIVE        : integer := 768;   -- lines  
    G_V_SYNCH_WIDTH   : integer := 6;     -- lines      
    G_V_TOTAL_WIDTH   : integer := 806;   -- lines  
    G_V_BACK_PORCH    : integer := 29;    -- lines  
    G_V_FRONT_PORCH   : integer := 3      -- lines
  );
  port
  (
    signal VCLK  : out std_logic;
    signal R     : out std_logic_vector (7 downto 0);
    signal G     : out std_logic_vector (7 downto 0);
    signal B     : out std_logic_vector (7 downto 0);
    signal VSYNC : out std_logic;
    signal HSYNC : out std_logic;
    signal DE    : out std_logic
  );
end entity video_generator_f;

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...