Перейти к содержанию
    

Мелкие вопросы по Vivado

1 час назад, Мур сказал:

Естественно проект одинаковый. Имеется ввиду время с момента разворота архива Q18 Prime и до окончания сборки.  Vivado постоянно надо пинать по Flow и время итоговое шокирует.\

Так сколько часов, минут, секунд собираются проекты в обоих случаях?

1 час назад, Мур сказал:

Какой? 

Там можно сохранить проект в виде тиклевого скрипта (File->Project->Write Tcl...). И потом воссоздать его из этого скрипта.

 

1 час назад, Мур сказал:

Возможно... Не нашел пока аналог просмотра\модификации содержимого памяти , внешнего (без физической кнопки) запуска события,

А причём тут память? Речь же про логический анализатор. Если вы имеете в виду In-System Memory Content Editor, позволяющй смотреть потроха блочной памяти, сжирая при этом один физический порт, то такого инструмента в Виваде нет. Но это и не SignalTap.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 minutes ago, dxp said:

1.Так сколько часов, минут, секунд собираются проекты в обоих случаях?

2.Там можно сохранить проект в виде тиклевого скрипта (File->Project->Write Tcl...). И потом воссоздать его из этого скрипта.

3. А причём тут память? Речь же про логический анализатор. Если вы имеете в виду In-System Memory Content Editor, позволяющй смотреть потроха блочной памяти, сжирая при этом один физический порт, то такого инструмента в Виваде нет. Но это и не SignalTap.

1. В КВА 1 мин     В ВИВе это около 4 мин.(Причем имплементация самая продолжительная. Видимо ресурс FPGA сказывается?)

2.  Это радует.  Ценная для меня инфа...

3. Именно это меня и огорчает... Получается я ограничен в манёвре сбора данных и активности по воздействиям.   

  Дополнительно хочу спросить, если в КВА  СигналТаб легко документирует полученные реализации и всегда есть возможность подробно просмотреть (без аппаратуры) важные фрагменты в реализации без напряга, то может ли это делать ВИВА? Причем можно постоянно собирать интересности для просмотра в любое время, когда коллегам надо обосновать свое новое решение...  

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, Мур сказал:

В КВА 1 мин     В ВИВе это около 4 мин.(Причем имплементация самая продолжительная. Видимо ресурс FPGA сказывается?)

🙂 В этом и ответ. Ква написан на Qt, а Вива на жабе. Она очень медленно запускается (причём старые версии заметно быстрее -- видимо, в новых жаба уж совсем стала жирной и неповоротливой). Но будучи запущенной процесс идёт уже не так медленно. У Вивы консоль запускается дольше, чем та же Квеста успевает откомпилировать небольшой проект. В общем, если будете собирать проекты, которые синтезируются и разводятся хотя бы десятки минут, разница будет не такой радикальной. 

1 час назад, Мур сказал:

Именно это меня и огорчает... Получается я ограничен в манёвре сбора данных и активности по воздействиям.   

Если вопросы именно к логическому анализатору, коим является ILA (аналог SignalTap), то какие к нему вопросы? Она вполне функциональная, не уступает визави ни в чём, насколько могу судить. А то, что в Виве нет этого редактора блочной памяти... ну, мне бы тоже хотелось, чтобы такое там было. Но вот такая реальность. Считают, видимо, что это не такая уже киллерфича и мало кому надо (с чем я готов согласиться).

1 час назад, Мур сказал:

Дополнительно хочу спросить, если в КВА  СигналТаб легко документирует полученные реализации и всегда есть возможность подробно просмотреть (без аппаратуры) важные фрагменты в реализации без напряга, то может ли это делать ВИВА? Причем можно постоянно собирать интересности для просмотра в любое время, когда коллегам надо обосновать свое новое решение...  

Не очень понял, чего хочется. Сохранять дампы прогонов? Вроде такое есть. Но навскидку не подскажу, давно этим не пользовался.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 hour ago, dxp said:

Если вопросы именно к логическому анализатору, коим является ILA (аналог SignalTap), то какие к нему вопросы? Она вполне функциональная, не уступает визави ни в чём, насколько могу судить. А то, что в Виве нет этого редактора блочной памяти... ну, мне бы тоже хотелось, чтобы такое там было. Но вот такая реальность. Считают, видимо, что это не такая уже киллерфича и мало кому надо (с чем я готов согласиться).

Не очень понял, чего хочется. Сохранять дампы прогонов? Вроде такое есть. Но навскидку не подскажу, давно этим не пользовался.

Спасибо за понятный ответ!..

Да, с этим можно жить. Ну а в пределе, когда на борту есть MCU , можно финтить по-всякому!  Другое дело затраты времени. И цейтнот вынуждает "искать пятый угол".

Именно дампы! Сам случайно заметил такую возможность у КВА. Радовался, потому как мечта была рядом (но с железом!) у МоделСим такой дружественной возможности документирования раундов нет, а очень бы помогло!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

7 минут назад, Мур сказал:

Спасибо за понятный ответ!..

Да, с этим можно жить. Ну а в пределе, когда на борту есть MCU , можно финтить по-всякому!  Другое дело затраты времени. И цейтнот вынуждает "искать пятый угол".

Именно дампы! Сам случайно заметил такую возможность у КВА. Радовался, потому как мечта была рядом (но с железом!) у МоделСим такой дружественной возможности документирования раундов нет, а очень бы помогло!

У Хилых не только крутой логический анализатор (ILA), который можно по-разному конфигурировать, и при должной сноровке автоматизировать скриптом. Местами может быть неудобно. Но на самом деле я переучился с signal_tap и вполне доволен жизнь. Теперь signal_tap кажется неудобным. У Хилых очень удобные виртуальные вводы\выводы (VIO) которые позволяют вручную вводить\выводить значения внутри проекта. При должном желании на основе этого можно даже стенды для дебага изделий делать, в случае если нет времени на разработку полноценных рабочих мест. Так-же есть JTAG to AXI, который позволяет через JTAG считывать память\записывать память через AXI интерфейс, что очень круто помогает в разработке.

И самое главное дофига всего можно написать на TCL. А сколько возможностей есть, если уметь пользоваться инструментом... Один режим внесения инженерных изменений, когда вы в уже в размещенном проекте изменяете часть дизайна. В плане возможностей софта Xilinx впереди планеты всей.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

33 minutes ago, Flip-fl0p said:

У Хилых не только крутой логический анализатор (ILA), который можно по-разному конфигурировать, и при должной сноровке автоматизировать скриптом. Местами может быть неудобно. Но на самом деле я переучился с signal_tap и вполне доволен жизнь. 

И самое главное дофига всего можно написать на TCL. А сколько возможностей есть, если уметь пользоваться инструментом... Один режим внесения инженерных изменений, когда вы в уже в размещенном проекте изменяете часть дизайна. В плане возможностей софта Xilinx впереди планеты всей.

Сердечное спасибо!..   Это важно в работе!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 hours ago, andrew_b said:

File -> Export -> Export ILA data...

Спасибо. Воспрял...

Важный вопрос. Вы свои компы прячете от Интернета?  У меня подозрения, что идет вторжение извне...  Меняет расположение пинов, хотя я задаю изначально другое. Дескать сайт расположен не в валидном месте..     

В КВА в установках специально отключали все галочки связи с разработчиками...     Получается свистит наружу?  Где тут отключить интернет надо? (Нашел!    Setting -> Tool Setting -> WebTalk)

...Не знаю, с чем связано, но при отключенном интернете и после  reset_project удалось навязать свой вариант!  

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 12/20/2023 at 4:08 PM, Мур said:

На мой предварительный взгляд различия Хилого и КВА существенны.

2.  Вопросы архивации и разворачивания архива дизайна у КВА  более продуманы и удобны.

Буду продолжать по мере освоения..

Все красиво у Вивадо с архивацией!... ZIP полон  со всеми установками\настройками

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 12/23/2023 at 4:02 PM, Maverick_ said:

Спасибо!  Этот источник мне давно знаком и я им пользовался неоднократно...

У меня всплыла другая проблема,- как задавать дифференциальные пары?  Пробовал и   https://russianblogs.com/article/5099224639/   и констрейнами...(на UG835 странно сообщила, что не все команды приемлемы!)  День впустую провел...

Не вижу обратной связи. Не реагирует  табличка  I\O...

Подскажите источник с примерами!

Спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 12/24/2023 at 8:43 PM, Мур said:

День впустую провел...

Quote

Сердись иль пей, и вечер длинный
Кой-как пройдет, а завтра тож,
И славно зиму проведешь.

 

xapp524.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

57 minutes ago, blackfin said:

Ух как интересно!   Спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

https://russianblogs.com/article/32463012041/   ....Запоздало Но стоит вникнуть новичку

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 hours ago, Мур said:

Но стоит вникнуть новичку

Это нечитаемый машинный перевод. Стоит вникнуть в оригинальный английский текст.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...