Перейти к содержанию
    

1001 раз о прикручивании библиотек

Поставил ISE и Modelsim.

 

Запустил симуляцию, сразу ошибка

 

# Reading C:/modeltech_10.1c/tcl/vsim/pref.tcl 
# ** Error: (vish-42) Unsupported ModelSim library format for "D:/UARPA/HDL/work". (Format: 4)

# //  ModelSim SE 10.1c Jul 27 2012 
# //
# //  Copyright 1991-2012 Mentor Graphics Corporation
# //  All Rights Reserved.
# //
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
# //
# do {DigitalBoard_tb.fdo} 
# ** Warning: (vlib-34) Library already exists at "work".
# 
# Model Technology ModelSim SE vlog 10.1c Compiler 2012.07 Jul 27 2012
# ** Error: (vlog-42) Unsupported ModelSim library format for "work". (Format: 4)
# 
# ** Error: Verilog Compiler exiting
# ** Error: C:/modeltech_10.1c/win32/vlog failed.
# Error in macro ./DigitalBoard_tb.fdo line 23
# C:/modeltech_10.1c/win32/vlog failed.
#     while executing
# "vlog  "ipcore_dir/SQUARE_ROOT.v""
# ** Error: (vish-42) Unsupported ModelSim library format for "D:/UARPA/HDL/work". (Format: 4)

 

Снес каталог "work" в проекте. Пришел к "классическому вопросу библиотек".

 

Взял инструкцию по которой настраивал раньше

http://we.easyelectronics.ru/plis/kak-podr...v-modelsim.html

 

В ней на последней картинке видно что файлы идут с Modelsim.

У меня их там нет.

Например файл "secureip" не ищется.

 

Нашел в каталоге установки ISE. попробовал прикрутить:

vmap secureip {C:\Xilinx\14.7\ISE_DS\ISE\secureip}

# ** Error: (vmap-20) Cannot access for writing file "modelsim.ini".
# 
# Permission denied. (errno = EACCES)
# ** Warning: modelsim.ini and default modelsim.ini are the same file

В каталоге проекта снял атрибут "read only" c "modelsim.ini"

Дал повторно "vmap secureip {C:\Xilinx\14.7\ISE_DS\ISE\secureip}"

Команда прошла. но не "замапилась".

post-5454-1467658743_thumb.png

 

Нашел в Интернете ссылку

https://forums.xilinx.com/t5/Simulation-and...p/120586/page/2

 

В ней путь к библиотекам

"secureip = C:\Xilinx\14.7\ISE_DS\ISE\verilog\mti_pe\10.4\nt64/secureip"

 

Видно, что ISE 14.7 имеет библиотеки Modelsim 10.4.

 

То ли такая инсталляция есть, то ли еще чего?

 

Как мне к ISE 14.7 прикрутить Modelsim?

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Simulation Library Compilation Wizard есть в составе Tools среды ISE, библиотеки через него компилируются. Какие параметры там указывать не помню, в инете находил инструкцию. Сейчас с ходу найти не удалось, найду скину ссылку.

UPD:

нашел

http://homepages.wmich.edu/~grantner/ece55...Modelsim_10.pdf

Изменено пользователем likeasm

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Simulation Library Compilation Wizard есть в составе Tools среды ISE, библиотеки через него компилируются. Какие параметры там указывать не помню, в инете находил инструкцию. Сейчас с ходу найти не удалось, найду скину ссылку.

UPD:

нашел

http://homepages.wmich.edu/~grantner/ece55...Modelsim_10.pdf

 

Спасибо, завтра попробую.

Вот она ирония развития компьютерных технологий :-(

Не только предметы морально устаревают но и знания.

 

Что мешало разработчика "присобачить" крыжик с функцией компиляции библиотек в окне/закладке выбора внешних инструментов?

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

либы в сорцах. взять сорцы, и тупо скомпилировать в work без всяких vmap ов

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Полная инструкция по xilinx-библиотекам для modelsim questasim

 

1. В <instal_dir> modelsim ищем файл modelsim.ini, он read only, разрешаем его для записи.

 

2. В <instal_dir> modelsim запускаем следующий батник:

%Xilinx%\bin\nt64\compxlib -s questasim -arch fpga -lib all -l all -dir %MODEL_TECH%\..\Xilinx\ -p %MODEL_TECH%\ -log compxlib.log -w

Переменные среды у меня такие:

Xilinx = C:\Xilinx\14.7\ISE_DS\ISE
MODEL_TECH = C:\MentorGraphics\questasim64_10.4a\win64

Внимание, этот батник fpga only, кому надо cpld правьте ключ -arch all или -arch cpld.

 

3. Проверяем, что в файл modelsim.ini автоматически прописались пути к библиотекам.

Где-то в начале примерно на 70й строке должно быть так:

secureip = C:\MentorGraphics\questasim64_10.4a\Xilinx\/secureip
unisim = C:\MentorGraphics\questasim64_10.4a\Xilinx\/unisim
unimacro = C:\MentorGraphics\questasim64_10.4a\Xilinx\/unimacro
unisims_ver = C:\MentorGraphics\questasim64_10.4a\Xilinx\/unisims_ver
unimacro_ver = C:\MentorGraphics\questasim64_10.4a\Xilinx\/unimacro_ver
simprim = C:\MentorGraphics\questasim64_10.4a\Xilinx\/simprim
simprims_ver = C:\MentorGraphics\questasim64_10.4a\Xilinx\/simprims_ver
xilinxcorelib = C:\MentorGraphics\questasim64_10.4a\Xilinx\/xilinxcorelib
xilinxcorelib_ver = C:\MentorGraphics\questasim64_10.4a\Xilinx\/xilinxcorelib_ver

ВСЁ

 

Вот квестасим со скомпилированными библиотеками по этой инструкции

GBe0QOUW_Gw.jpg

 

Важное дополнение

 

В файле modelsim.ini надо найти строчку

VoptFlow = 1

, и заменить ее на

VoptFlow = 0

У меня этот блок текста выглядит так, примерно с 800й строки

[vsim]
; vopt flow
; Set to turn on automatic optimization of a design.
; Default is on
VoptFlow = 0

Если VoptFlow = 1, то Modelsim будет моделировать ваш проект в виде какого-то своего оптимизированного нет-листа, для ускорения времени.

Тогда вы не сможете увидеть внутренние сигналы проекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Полная инструкция по xilinx-библиотекам modelsim questasim
А для тех, кто боится командной строки и любит мышиную возню, есть программка compxlibgui. Лежит рядом с compxlib.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Важное дополнение

 

В файле modelsim.ini надо найти строчку

VoptFlow = 1

, и заменить ее на

VoptFlow = 0

У меня этот блок текста выглядит так, примерно с 800й строки

[vsim]
; vopt flow
; Set to turn on automatic optimization of a design.
; Default is on
VoptFlow = 0

Если VoptFlow = 1, то Modelsim будет моделировать ваш проект в виде какого-то своего оптимизированного нет-листа, для ускорения времени. Тогда вы не сможете увидеть внутренние сигналы проекта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если VoptFlow = 1, то Modelsim будет моделировать ваш проект в виде какого-то своего оптимизированного нет-листа, для ускорения времени. Тогда вы не сможете увидеть внутренние сигналы проекта.

vsim -novopt <bla bla bla> делает тоже самое

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Дополнение к свежим версиям modelsim questasim.

 

VoptFlow = 0 больше не надо. Всё норм работает.

Перед компиляцией библиотек надо

1. В <instal_dir> modelsim ищем файл compxlib.cfg

2. В этом файле удаляем все директивы -novopt

3. Следим чтобы в 16й строке этого файла был правильно прописан тип целевого софта: mti_se или questasim

4. Исправленные файлы compxlib.cfg лежат в прикрепленном архиве

compxlib.7z

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13.04.2021 в 21:58, druzhin сказал:

1. В <instal_dir> modelsim ищем файл compxlib.cfg

2. В этом файле удаляем все директивы -novopt

Здравствуйте. Есть ли инфа, как сделать то же самое для Vivado? Я пробовал сделать как подсказывают в этом документе на стр. 168 через  config_compile_simlib. Отредактировал опции для всех библиотек, чтобы не было фразы -novopt, получилось вот так:

config_compile_simlib -cfgopt {questasim.verilog.axi_bfm:-quiet}
config_compile_simlib -cfgopt {questasim.verilog.ieee:-quiet}
config_compile_simlib -cfgopt {questasim.verilog.simprim:-source +define+XIL_TIMING}
config_compile_simlib -cfgopt {questasim.verilog.std:-quiet}
config_compile_simlib -cfgopt {questasim.verilog.synopsys:-quiet}
config_compile_simlib -cfgopt {questasim.verilog.unisim:-source}
config_compile_simlib -cfgopt {questasim.verilog.vl:-quiet}
config_compile_simlib -cfgopt {questasim.vhdl.axi_bfm:-93 -quiet}
config_compile_simlib -cfgopt {questasim.vhdl.ieee:-93 -quiet}
config_compile_simlib -cfgopt {questasim.vhdl.simprim:-source -93}
config_compile_simlib -cfgopt {questasim.vhdl.std:-93 -quiet}
config_compile_simlib -cfgopt {questasim.vhdl.synopsys:-93 -quiet}
config_compile_simlib -cfgopt {questasim.vhdl.unisim:-source -93}
config_compile_simlib -cfgopt {questasim.vhdl.vl:-93 -quiet}

Вроде всё нормально, но проблема в том, среди этого множества нет библиотеки secureip. Попробовал выполнить строчку

config_compile_simlib -cfgopt {questasim.verilog.secureip:-source -64 -work secureip -f}

Но вивада ругнулась, что такого параметра у неё нет. В итоге эта либа компилится с ошибкой. Вивада 2016.4.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

02.05.2022 в 12:05, AnatolySh сказал:

Так тоже пробовали?

Я именно через это и пробовал, по-другому не пробовал компилить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

37 минут назад, AnatolySh сказал:

Попробуйте

Спасибо! Это под Квартус. А под Виваду всё так же или что-то надо поменять?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

9 minutes ago, Krys said:

Спасибо! Это под Квартус.

Пожалуйста! Не смог не сдержаться (по-моему, уже здесь постил, но мем очень знатный, как по мне)

Картинки что вы говорите? (37 фото) • Прикольные картинки и позитив

10 minutes ago, Krys said:

А под Виваду всё так же или что-то надо поменять?

Это уж давайте сами попробуйте, ибо мне пока не надо. Да и чувствуется мне, что и там и там - те же яйца, вид сбоку. Понимаете, о чём я?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...