Перейти к содержанию
    

Выбор и много других вопросов

Я начал сравнительно недавно заниматься ПЛИС. По совету товарищей, начал я без покупки той или иной отладочной платы.

Изучил основы VHDL, начал делать лабораторные работы, написал небольшие программки и т.п.

Все делал в среде ISE от Xilinx.

Хочу советы получить от старших товарищей....уже хочется некоторые вещи проверять на железе (написал , к примеру протокол UART с пакетной передачей...протесбенчил , но хочется вживую увидеть это, работает/нет и т.п.)

В итоге есть сей час два пути:

1) Дальше заниматься без отладочной платы

2) Приобрести

 

 

Со вторым пунктом есть вопросы,

1) т.к. я привык к Xilinx (среда уже знакома, некоторые тех моменты уже известны) , то и хотелось бы и работать на нем, но китай не продает под него дешевых программаторов...LPT(программаторы) думаю это не самое оно... какие варианты есть?

2) дешевые отладочные платы имеют слабую периферию, что нужно довесок брать к таким отладочным платам, дабы это компенсировать? (имеется отладка с stm32, думаю ею что-то )

 

Вот ПЛАТА (тык) , которую хочу брать...

 

 

Теперь более конкретней...я планирую начать с простых программ ЦОС+программы управления (пакеты пришли сделай то и т.п.), т.к. мне интересна данная тематика, в качестве ацп/цап могу использовать из отладочной платы stm32f4, связь с пк буду проводить с помощью ft232 ..уже написал программу визуальную, для различных способов передачи/приема.

Так вот..подойдет ли данная плата мне, как начинающему? как быть с программатором? Мб есть другие варианты развития событий? (altera не смотрел, т.к. уже подсел на xilinx )

Любые советы приму...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

как быть с программатором? Мб есть другие варианты развития событий?

Любые советы приму...

Вот посмотрите (эту) статью. Как по мне, это практически исчерпывающие сведения о дешевых программаторах для Xilinx.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

https://www.terraelectronica.ru/catalog_inf...hp?CODE=1266234

Platform Cable USB – USB-совместимый загрузочный кабель для внутрисхемного конфигурирования и программирования всех CPLD и FPGA от Xilinx.

3575,92 руб

 

Дешевле покупных не видел.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Такие кто-нибудь покупал и использовал?

Как они по качеству? И такой программатор пойдёт для прошивки и отладки Zynq-7010/7020?

 

PS: Вопросы глупые, потому как в этом деле я абсолютный профан, а изучить и научиться применять нужно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

С программаторами понятней стало.

а вот данная плата (см пост) как начинающему сойдет? что к ней нужно дополнительно прикупить (зы т.к. на ней мало переферии) из серии must have?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Теперь более конкретней...я планирую начать с простых программ ЦОС+программы управления (пакеты пришли сделай то и т.п.), т.к. мне интересна данная тематика, в качестве ацп/цап могу использовать из отладочной платы stm32f4, связь с пк буду проводить с помощью ft232 ..уже написал программу визуальную, для различных способов передачи/приема.

Так вот..подойдет ли данная плата мне, как начинающему? как быть с программатором? Мб есть другие варианты развития событий? (altera не смотрел, т.к. уже подсел на xilinx )

Любые советы приму...

На самом деле Вы не с того конца начинаете. Вот Вы написали, что хотите ЦОС и т.д.

Теперь вопрос: как данные будут попадать в ПЛИС и как они оттуда будут передаваться в хост?

Я не говорю об ацп/цап, на этапе отладки можно обойтись только цифровыми данными. Вы написали, что "связь с пк буду проводить с помощью ft232"... Это через виртуальный СОМ в ПК. А в ПЛИС, кто будет обрабатывать протокол (пакеты пришли) и какой? Как будете отлаживать обработчик протокола?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

(altera не смотрел, т.к. уже подсел на xilinx )
Это зря.

Недавно посадили на проект под Хилыми, до этого был на Альтере - исплевался весь. Может просто с непривычки так, не знаю.

Извиняйте за офтоп.

Изменено пользователем Jackov

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это зря.

Недавно посадили на проект под Хилыми, до этого был на Альтере - исплевался весь. Может просто с непривычки так, не знаю.

Извиняйте за офтоп.

Как-то давно меня пригласили студенты на "поговорить". И один из них задал обычный вопрос: "VHDL или Верилог"? На что я им совершенно просто ответил: "а от каких рабочих мест Вы хотите отказаться?" Так что "исплевался", но "работаю", ведь так?

А потому и все такое высказывание про "исплевался весь" для начинающего никакой роли не играет... Сейчас это Вам кажется так, через 5 лет будет по-другому казаться, а через 10 лет и вовсе по-третьему...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

С программаторами понятней стало.

а вот данная плата (см пост) как начинающему сойдет? что к ней нужно дополнительно прикупить (зы т.к. на ней мало переферии) из серии must have?

Так это только вы можете сказать что вам must have.

Мне для баловства хватило AES-S6MB-LX9-G $114 - ей программатор не нужен, он там встроенный. И примеров под неё вагон.

А для програмирования брал AES-JTAG-HS2 $75, покупал в золотом шаре

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Любые советы приму...

Да - лучше купить отладку.

Лучше со встроенным программатором на FT2322H (работает быстрее чем внешний фирменный Xilinx USB JTAG за 300$) - сейчас таких отладок полно в т.ч. недорогих.

Вот здесь можно поcмотреть: http://store.digilentinc.com/fpga-programm.../by-technology/

 

По типу ПЛИС лучше брать Xilinx 7 серии и выше: Artix7, Zynq, Kintex7, Virtex7(только если есть ненужные $6000 :))

т.к. только они поддерживаются в Vivado.

Хоть вы и начали в ISE - советую попробывать Vivado - это реальный большой шаг вперёд, т.к. качество разводки по кристаллу + скорость работы проекта + скорость Синтез&MAP&P&R существенно лучше.

(сравнивали достаточно большой проект на Kintex7 в PlanAhead14.7 и Vivado).

Раньше, лет 5-6 назад, - только ленивый ISE не пинал за глючность, медлителность и криворукость индусов. Но в настоящее время PlanAhead и Vivado рулят, теперь в отстающих Альтеровский КАКТУС.

 

Для ЦОС смотрите на разъёмы на плате, чтобы удобно было внешний АЦП прикручивать и внутри ПЛИС смотрите на кол-во блоков DSP48 - чем больше тем лучше.

 

 

Это зря.

Недавно посадили на проект под Хилыми, до этого был на Альтере - исплевался весь. Может просто с непривычки так, не знаю.

Извиняйте за офтоп.

100% c непрывычки, ну и от типа ПЛИС и от среды разработки зависит т.к. у Хилых их теперь целых 3 штуки: ISE->PlanAhead->Vivado.

:bb-offtopic: 7-серия хилых порвала всех, особенно Kintex7/Zynq7 - по производительности FPGA фабрики они на уровне топовых Virtex6/Virtex7, а цена существенно ниже.

"Конкурент" в виде Альтеровской Arria V - которая, как выяснилось, по скорости и архитектуре сравнима с Циклоном, а по цене со Стратиксами - отдыхает.

Отсутствие регистров в "Арийских"/"Циклоновских" блоках DSP/умножителях меня сразило наповал.

Чего там будет в Arria 10 - х.з. - там уже КАКТУС Prime какой-то ставить надо. ИМХО, чтобы люди снова повернулись к Альтере лицом - надо снижать цены.

P.S. Ориентир правильной цены для Альтеры недавно DES00 тут указывал: Xilinx Zynq XC7Z045 по 90$/шт. в партии 10000штук.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Vivado рулят, теперь в отстающих Альтеровский КАКТУС.

Как в Vivado с поддержкой SystemVeriog? bit, struct, typedef, interface? Особенное интересно про interface - поддерживаются ли сами по себе, поддерживаются modport'ы, поддерживются ли modport expressions? Имеется ли реальный опыт использования этого (в смысле, это реально рабочие вещи или декларации вендора)? Вопрос без подвоха, на самом деле очень интересно.

 

Ещё там, слышал, заявлена поддержка HLS. Можете ли что-либо сказать по этому поводу? Спасибо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1) отладочную плату обязательно купить (не забывай программатор)

2) начать изучать Verilog: http://www.asic-world.com/verilog/veritut.html

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

сейчас, если покупать отладочную плату, то уже SoC.

Например DE0-Nano Development and Education Board, программатор уже встроен на плату...

Насчет языков описания рекомендую начать с VHDL, затем переходить на Verilog/SV...

В конечном итоге желательно знать/понимать все языки описания придется...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Любые советы приму...

За 27 USD кит с программатором из Китая, месяц назад купил сын. Доставка за 2 недели.

В кит входят: Cyclone IV FPGA EP4CE6E22C8N + EPCS4 и USB Blaster

Все работает и без обмана. Для старта вполне подойдет. На наш взгляд - вариант самый дешевый...

http://ru.aliexpress.com/item/Cyclone-IV-F...00007.11.W5Mugg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Начинать гораздо лучше на Альтере.

ПО и документация гораздо более качественно сделаны, заметно меньше малопонятных глюков.

 

Если нет денег на отладочную плату - можно кинуть клич и приобрести какую-нибудь ненужную макетную плату у разработчиков.

Лишь бы хорошо была сделана.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...