Rotor 0 25 декабря, 2015 Опубликовано 25 декабря, 2015 · Жалоба Надо написать генератор шума с нормальным распределением. Получил ПСП с помощью LFSR, дальше надо реализовать преобразование Бокса-Мюллера получения из равномерного распределения нормального, но как его сделать не знаю. Надо корень, ln и cos c sin. Или есть другой способ получения из равномерного распределения нормального? Что имею пока: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity LFSR_1 is PORT( clk: in std_logic; RND: out std_logic ); end LFSR_1; architecture Behavioral of LFSR_1 is signal tmp: std_logic_vector(31 downto 0):= (others=>'1'); begin process (clk, tmp) begin if tmp=0 then tmp <= (others=>'1'); elsif (clk'event and clk='1') then for i in 0 to 30 loop tmp(i+1) <= tmp(i); end loop; tmp(0) <= tmp(31) xor tmp(21) xor tmp(1) xor tmp(0); end if; end process; RND <= tmp(31); end Behavioral; Мой уровень знания VHDL чуть выше чайника :laughing: Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 25 декабря, 2015 Опубликовано 25 декабря, 2015 · Жалоба Получил ПСП с помощью LFSR, дальше надо реализовать преобразование Бокса-Мюллера получения из равномерного распределения нормального, но как его сделать не знаю. Надо корень, ln и cos c sin. 1. LFSR у вас не очень 2. таблично считайте + линейная аппроксимация. 3. в сети статей по этому генератору на плис вагон и маленька тележка Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Rotor 0 25 декабря, 2015 Опубликовано 25 декабря, 2015 · Жалоба 1. LFSR у вас не очень 2. таблично считайте + линейная аппроксимация. 3. в сети статей по этому генератору на плис вагон и маленька тележка Чем плох LFSR? Как посчитать таблично, вот совсем не понятно Да нет, статей я не нашел, реализации на vhdl, на Си делали (http://habrahabr.ru/post/266897) Принимаю любую критику, советам и наставлениям буду рад Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 25 декабря, 2015 Опубликовано 25 декабря, 2015 · Жалоба Чем плох LFSR? Как посчитать таблично, вот совсем не понятно Да нет, статей я не нашел, реализации на vhdl, на Си делали (http://habrahabr.ru/post/266897) 4 ая ссылка из гугла, по ключевой фразе "Hardware Gaussian Noise Generator Using the Box-Muller Method " Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Rotor 0 25 декабря, 2015 Опубликовано 25 декабря, 2015 · Жалоба 4 ая ссылка из гугла, по ключевой фразе "Hardware Gaussian Noise Generator Using the Box-Muller Method " Замечательная статья, прочитаю. Ответов 0 Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
ViKo 1 25 декабря, 2015 Опубликовано 25 декабря, 2015 · Жалоба Сложите много равномерно распределенных величин, и получите нормально распределенную. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Rotor 0 25 декабря, 2015 Опубликовано 25 декабря, 2015 · Жалоба Сложите много равномерно распределенных величин, и получите нормально распределенную. Центральная предельная теорема, даааа, этот вариант пока первый в очереди на успех- по простоте и результату) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
ViKo 1 25 декабря, 2015 Опубликовано 25 декабря, 2015 · Жалоба Ага. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 25 декабря, 2015 Опубликовано 25 декабря, 2015 · Жалоба Замечательная статья, прочитаю. Ответов 0 кому как. по ней я на за час сделал генератор AWGN шума бокса-маллера и оптимизировал его под плис. на все ушло плиток 400 + немного памяти и умножителей. Сложите много равномерно распределенных величин, и получите нормально распределенную. теория гласит что не менее 12 ти, но на LFSR трудно получить действительно независимые величины. Поэтому гистрограмма такого шума, похожа на гаусову, но все же достаточно сильно от нее отличается. особенно на высоких частотах. Поэтому вариант работает только для узких полос (относительно частоты дискретизации) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Rotor 0 26 декабря, 2015 Опубликовано 26 декабря, 2015 · Жалоба кому как. по ней я на за час сделал генератор AWGN шума бокса-маллера и оптимизировал его под плис. на все ушло плиток 400 + немного памяти и умножителей. Однозначно, Вы- гуру, по сравнению со мной Можно код AWGN? Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 26 декабря, 2015 Опубликовано 26 декабря, 2015 · Жалоба Можно код AWGN? Давать вам "рыбу" не в моих интересах. "Удочку" я вам дал. Математика там 9 класс средней школы, справиться любой кто умеет пользоваться головой и учебником. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
Rotor 0 26 декабря, 2015 Опубликовано 26 декабря, 2015 (изменено) · Жалоба Математика там 9 класс средней школы, справиться любой кто умеет пользоваться головой и учебником. Я бы уже давно сгенерировал, если бы на VHDL было так легко посчитать корень, логарифм, и тригонометрию Мат. выражение простое, разговора нет Изменено 26 декабря, 2015 пользователем Rotor Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
aT-DeviLru 1 26 декабря, 2015 Опубликовано 26 декабря, 2015 · Жалоба Генератор белого шума есть в примерах Xilinx System Generator, его реализация полностью открыта для пользователя, поэтому при желании можно очень быстро портировать код под любую другую ПЛИС. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
des00 25 26 декабря, 2015 Опубликовано 26 декабря, 2015 · Жалоба Я бы уже давно сгенерировал, если бы на VHDL было так легко посчитать корень, логарифм, и тригонометрию Помимо того что вам уже говорили, даже в статье черным по белому написано: делайте таблично. сгенерировать таблицу синуса/косинуса и корня из логарифма в матлабе/маткаде дело 5 минут. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
embddr 0 26 декабря, 2015 Опубликовано 26 декабря, 2015 · Жалоба получения из равномерного распределения нормального Пропустить через ФНЧ. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться