Перейти к содержанию
    

Кварцевый генератор для ПЛИС

Штука такая: можно ли к ПЛИС 5ceba7f23c8n https://www.buyaltera.com/PartDetail?partId=3879489 подсоединить кварцевый генератор (частота 50 МГц) и тактировать микросхему DAC908 http://www.ti.com/product/dac908 (165 МГц), т.е. из 50 МГц получить 165 МГц и чтобы dac908 нормально работал от этой частоты ( сам кварцевый генератор надо подключить на контакт ПЛИС, являющийся входом для PLL, а тактовый вход dac908 подключить к выходному контакту PLL ПЛИС)? Надёжней наверное подключить к ПЛИС генератор на 200 МГц, но я таких как-то не нашёл(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

можно ли к ПЛИС 5ceba7f23c8n подсоединить кварцевый генератор (частота 50 МГц) и тактировать микросхему DAC908 (165 МГц), т.е. из 50 МГц получить 165 МГц и чтобы dac908 нормально работал от этой частоты ( сам кварцевый генератор надо подключить на контакт ПЛИС, являющийся входом для PLL, а тактовый вход dac908 подключить к выходному контакту PLL ПЛИС)? Надёжней наверное подключить к ПЛИС генератор на 200 МГц, но я таких как-то не нашёл(

Все верно, так сделать можно. Что Вас смущает?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все верно, так сделать можно.

если джиттер вам не принципиален

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Все верно, так сделать можно. Что Вас смущает?

Меня смущает отсутвие прочных знаний в этом вопросе) И то что из меньшей частоты получается большая ( из большей меньшую получить это я представляю как, а наоборот тоже представляю, но так выходит гораздо сложнее)

если джиттер вам не принципиален

Что за штука такая джиттер?

И ещё раз: кварц на 50 мгц к плис, плис тактирует цап на 165 мгц и всё будет работать? Я правильно понял?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

..джиттер - это дрожание фронтов, фазовый шум.

Если вы выдаёте данные на ваш ЦАП а в цапе эти данные перепревязываются к чистому клоку ЦАПа, то можете умножить 50 МГц до 165 и использовать их, если конечно разведёте проект в плис чтобы он на такой частоте работал.

Если вы хотите чтобы ваш ЦАП целиком работал на синтезированой вами частоте, то учтите что скорее всего соотношение Сигнал\шум у полезного сигнала будет низким.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо за разъяснения, а как быть если требуется высокая частота? Надо подключать генератор с высокой частотой? Можете подсказать какой генератор использовать, а то я как-то не нашёл кварцевый генератор на 200 мгц?

Изменено пользователем enzaime

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо за разъяснения, а как быть если требуется высокая частота? Надо подключать генератор с высокой частотой? Можете подсказать какой генератор использовать, а то я как-то не нашёл кварцевый генератор на 200 мгц?

а я нашел и очень много http://www.digikey.com/product-search/en/c...illators/852334

нужно было только поискать.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а я нашел и очень много http://www.digikey.com/product-search/en/c...illators/852334

нужно было только поискать.

Ну вот, теперь мне стыдно... очень

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

если джиттер вам не принципиален

А что джиттер? От внешнего источника тактов подаем 50 МГц на вход ПЛИС, далее через PLL формируем 165 МГц и подаем на специализиорованный выход для тактирования внешнего устройства, в данном случае - на ЦАП. Констрейним путь вывода данных. Стандартная схема...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если вы хотите чтобы ваш ЦАП целиком работал на синтезированой вами частоте, то учтите что скорее всего соотношение Сигнал\шум у полезного сигнала будет низким.

На восьмибитном ЦАП не будет заметно скорее всего.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что джиттер? От внешнего источника тактов подаем 50 МГц на вход ПЛИС, далее через PLL формируем 165 МГц и подаем на специализиорованный выход для тактирования внешнего устройства, в данном случае - на ЦАП. Констрейним путь вывода данных. Стандартная схема...

 

Рисковый парень :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что джиттер? От внешнего источника тактов подаем 50 МГц на вход ПЛИС, далее через PLL формируем 165 МГц и подаем на специализиорованный выход для тактирования внешнего устройства, в данном случае - на ЦАП. Констрейним путь вывода данных. Стандартная схема...

У меня есть отладочная плата DE1 c ПЛИС 5CSEMA5F31C6N, там на плис подаётся 50 мгц, а от плис тактируется внешняя память частотой 200 мгц, тактовый вход памяти соединён с контактом выхода PLL на ПЛИС и вроде как всё работает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А что джиттер? От внешнего источника тактов подаем 50 МГц на вход ПЛИС, далее через PLL формируем 165 МГц и подаем на специализиорованный выход для тактирования внешнего устройства, в данном случае - на ЦАП. Констрейним путь вывода данных. Стандартная схема...

Можете пояснить как формируем 165 МГц через PLL? Так 50*33=1650/10=165? Или иной есть способ? Если нет, тогда возникает вопрос прокачает ли PLL 1,65 ГГц?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня есть отладочная плата DE1 c ПЛИС 5CSEMA5F31C6N, там на плис подаётся 50 мгц, а от плис тактируется внешняя память частотой 200 мгц, тактовый вход памяти соединён с контактом выхода PLL на ПЛИС и вроде как всё работает.

Цифровой схеме джиттер не так сильно мешает, как аналоговой. В цифре джиттер просто отжирает окно (снижает рабочую частоту), поэтому джиттер даже в несколько сотен пикосекунд при частоте в 200МГц вполне допустим. В аналоговых же схемах джиттер формирует шум.

 

Можете пояснить как формируем 165 МГц через PLL? Так 50*33=1650/10=165? Или иной есть способ? Если нет, тогда возникает вопрос прокачает ли PLL 1,65 ГГц?

PLL VCO работает на частоте M/N. Для получения данных параметров устанавливаем M=66, N=5, получаем частоту VCO 660MГц. Далее частота VCO ещё может для каждого выхода PLL произвольно делиться, в данном случае на 4.

 

А вообще в CycloneV PLL имеет нецелочисленный режим, то есть умеет не целые M.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...