Перейти к содержанию
    

Synopsys Synplify – мнение профессионалов

Уважаемые коллеги. Стала мне доступна Synopsys Synplify версии 2015. Хотел узнать ваше мнение о продукте, кто пользуется еею. Было время я плотно работал врсиями V7,V8. Сейчас только Вивадо. Синтезировали цифровые системы для ПЛИС XILINX. Она считалась одним из лучших синтезаторов логических схем. Интересно ваше мнение. Кто нибудь работает в Synplify?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Уважаемые коллеги. Стала мне доступна Synopsys Synplify версии 2015. Хоптел узнать ваше мнение о продукте, кто пользуется. Было время я плотно работал врсиями V7,V8. Сейчас только Вивадо. Синтезировали цифровые системы для ПЛИС XILINX. Она считалась одним из лучших синтезаторов логических схем. Интересно ваше мнение. Кто нибудь работает в Synopsys Synplify?

Работают. И немало. Дабы не разводить бесполезный холивар в стиле "вивадо vs. синплифай" рекомендую вам взять готовый дизайн и отсинтезить его обеими тулзами. Результаты (по времянке и по ресурсу - после Place&Route, естественно) сравнить. Я в своё время проделывал подобное и сделал выбор в пользу Синплифая. Однако жизнь не стоит на месте, Вивадо тоже меняется - возможно на текущий момент ситуация поменялась.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо большое!

 

Мы начинаем новый проект. Предложили пробную версию программы. Не знаю, стоит ли вкладывать время и ресурсы, создавать проект под Symplify. Нужно будет также обучить прогремме наших юных сотрудников. Единственный плюс, возможность изпользовать технологии Synopsys в будущем.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

symplify стоит сильно много денег - то есть вопрос в доступности...

 

плюсы какие - имхо, лучший анализатор результата - то есть визуализатор нетлиста, мапированого нетлиста и т.д. с кросспробингом и т.п.

 

кроссплатформенность - то есть один и тот же код мы используем для ксайлинса/альтеры/латтиса иногда даже для актела

 

понимание sv (хорошее, а не просто наличие), хорошее пониманимание конструкций hdl (т.н. inferring)

 

хороший оптимизатор - раньше различия с вендорскими тулзами были "драматическими", но и сейчас еще есть

 

говорят, что может поддерживать designware - как и что - я не проверял, там видимо, нужны спец лицензии и все-такое

 

-----------------

 

минусы

достаточно слабая платформозависимость - то есть syncore это отстой в ставнении с вендорскими визардами

цена (если покупать)

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо большое!

 

Мы начинаем новый проект. Предложили пробную версию программы. Не знаю, стоит ли вкладывать время и ресурсы, создавать проект под Symplify. Нужно будет также обучить прогремме наших юных сотрудников. Единственный плюс, возможность изпользовать технологии Synopsys в будущем.

смотря как и что собираетесь делать - если проект 100% на VHDL/verilog и нужна хорошая оптимизация то может симплифай и будет предпочтительнее, а если это цинк с кучей готовых ядер + свои на Си/VHDL без претензий к пиковой производительности (потоки в предела 120-150 МГц) то быстрее и проще будет в виваде

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А когда хочется работать на System Verilog с плисками типа Spartan 6, которые не поддерживаются в Vivado, то и альтернатив то особых нет, кроме сабжа...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Krys

А как же mentor precision? Он хуже синплифая?

 

precicion

SV понимает лучше - то есть всякие извращенные конструкции, принадлежность которых к синтезируемому подмножеству языка сомнительна, пресижен понимает.

развита система отказоустойчивого кодирования (разные виды TMR и т.п.), у симплифая это только для некоторых ПЛИС

 

 

результаты оптимизации хуже

анализ результатов, имхо, не очень

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А как же mentor precision? Он хуже синплифая?
Неправильно выразился: нет вариантов юзать Vivado, только сторонний синтезатор. Лично у меня к продуктам Ментора предубеждение, что они юзер не френдли.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Большое спосибо всем, кто поделился своим мнением и опытом!

Попробывал скачать вивадо, последнюю версию на их сайте. говорит по экспорт котролю ваш регион не проходит. Я правильно понимаю, что оффициально работать можем только в planahead 14.7?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

+Sinplify: проект, который на XST дает 150 Мгц, на Sinplify дает 250МГц.

-Sinplify: с Chipscope тяжело.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

+Sinplify: проект, который на XST дает 150 Мгц, на Sinplify дает 250МГц.
Чот сказки какие-то )) Подикась изначально неоптимально написано. Наверное на сверхвысоком уровне (со взгляда программиста, а не схемотехника). Потом Synplify догадывается, что программист имел в виду, а XST не догадывается. А если бы писал разработчик с мышлением схемотехника, то и XST бы догадался.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Большое спосибо всем, кто поделился своим мнением и опытом!

Попробывал скачать вивадо, последнюю версию на их сайте. говорит по экспорт котролю ваш регион не проходит. Я правильно понимаю, что оффициально работать можем только в planahead 14.7?

2015.3 нормально скачал с xilinx.com, IP адрес российский

 

ЗЫЖ правда у меня там аккаунт года с 2004. врядли они "старичкам" поблажки дают..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...