Перейти к содержанию
    

Verilog. Правила кодирования на этом языке

Т.е., имеется ввиду некий набор правил - как писать программы, чтобы они были читабельнее и были бы меньше подвержены ошибкам и сбоям. Никому такое не попадалось ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну coding rules обычно формируются на уровне предприятия, иногда даже по принципу здравого смысла, а иногда - "потому что у меня борода длиннее". Где-то попадалось что-то подобное только для VHDL, документ чуть ли не CERN. Но сейчас уже не вспомню где)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Т.е., имеется ввиду некий набор правил - как писать программы, чтобы они были читабельнее и были бы меньше подвержены ошибкам и сбоям. Никому такое не попадалось ?

Если захотите, то у меня на сайте "Краткий Курс"... Есть об этом глава...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну coding rules обычно формируются на уровне предприятия, иногда даже по принципу здравого смысла, а иногда - "потому что у меня борода длиннее"

Так вот мне и поручили написать такие правила. А я до этого ни над чем подобным не задумывался, а просто писал проекты, и все. Наверняка такие правила наработаны. Очень хотелось бы с ними ознакомиться.

 

Если захотите, то у меня на сайте "Краткий Курс"... Есть об этом глава...

Уже читаю. Полезно, очень, большое спасибо. Но маловато. Хотелось бы еще ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Так вот мне и поручили написать такие правила. А я до этого ни над чем подобным не задумывался, а просто писал проекты, и все. Наверняка такие правила наработаны. Очень хотелось бы с ними ознакомиться.

 

 

Уже читаю. Полезно, очень, большое спасибо. Но маловато. Хотелось бы еще ...

Много есть материалов для Си-программеров, но это не совсем то, хотя и похоже...

А еще на сайте у maveric, или последняя буква "к", точно не помню... он печатал статьи в КиТ...

Хотите еще - могу рассказать по скайпу...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...

А еще на сайте у maveric, или последняя буква "к", точно не помню... он печатал статьи в КиТ...

Хотите еще - могу рассказать по скайпу...

А адрес сайта не подскажете ?

По скайпу - да, можно было бы. Если вам не трудно, напишите пару слов. Сейчас отправлю вам свой скайп ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Быстрым поиском примеры:

FreescaleVerilog.pdf

VerilogCodingStyle.pdf

Или от вендора, но это не совсем формальные правила, а рекомендации под конкретную платформу:

qts_qii51007.pdf

Ну и здесь просто неплохие примеры по конструкциям:

#1, #2.

 

А вообще вам что нужно - формальные правила по внешнему виду и оформлению или набор годных паттернов, рекомендованных к использованию? просто если и то и то - то лучше ИМХО 2 документа делать, это немного разное.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Лет 6 назад обсуждали тут Coding Design Rules, ЕМНИП несколько человек (в том числе я) выкладывали свои правила. обсуждали, дорабатывали и т.д. Кстати они касались не только стиля написания текста, но и обхода стандартных gotcha.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Лет 6 назад обсуждали тут Coding Design Rules, ЕМНИП несколько человек (в том числе я) выкладывали свои правила. обсуждали, дорабатывали и т.д. Кстати они касались не только стиля написания текста, но и обхода стандартных gotcha.

Было бы интересно увидеть это обсуждение. Возможно, вы вспомните ссылку или хотя бы ключевые слова из текстов обсуждения ?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Было бы интересно увидеть это обсуждение. Возможно, вы вспомните ссылку или хотя бы ключевые слова из текстов обсуждения ?

Гугл рулит, поиск по маске "des00 + Coding Design Rules site://www.electronix.ru" и вуаля. правда я ошибся со сроками, там тема еще из моего VHDL ного прошлого (8-10 лет назад).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Гугл рулит, поиск по маске "des00 + Coding Design Rules site://www.electronix.ru" и вуаля. правда я ошибся со сроками, там тема еще из моего VHDL ного прошлого (8-10 лет назад).

Спасибо ...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Т.е., имеется ввиду некий набор правил - как писать программы, чтобы они были читабельнее и были бы меньше подвержены ошибкам и сбоям. Никому такое не попадалось ?
Посмотрите эту, может найдёте что полезного.

________________________________Verilog___________________________________________.doc

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Посмотрите эту, может найдёте что полезного.

глянул по диагонали :

Добавляйте _l для указания инверсной фазы сигнала
категорически не согласен :)

 

ИМХО использование маленькой L в постфиксах и префиксах, а также в сложно-составных именах переменных зло. Т.к. на большинстве шрифтов она схожа с 1. Для инверсии лучше использовать постфикс _n

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как по мне, так лучше взять исходники IP ядер кого-нибудь вроде ARM или MIPS, и содрать стилистику оттуда. В больших аутсорсинговых конторах уделяют большое внимание таким вещам, все продумано и обкатано на множестве реальных проектов. Сдирать у таких гигантов совсем не зазорно, а пользы будет всяко больше, чем от прочтения писак-теоретиков, чей опыт под вопросом (это я про электронные книжки).

Ссылками не располагаю - ищите.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...