Перейти к содержанию
    

Так зачем же нужны ПЛИС

А то получается, что любая м/схема "является законченным устройством, так как продается именно в таком виде, в каком продается, без каких либо процессоров."

По сути, верно, так как у одних производителей их законченная продукция - кремниевые пластины, а у других - космические корабли. И то, и это на каком то этапе является законченным продуктом.

 

Но мы ведь ведем речь о вполне конкретных вещах - самых различных электронных устройствах, присутствующих на рынке в том виде, в котором их продает производитель, а не о том, совместно с чем потом их могут использовать пользователи - тут уже можно нафантазировать чего угодно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как все много букаф понаписали... Это наверное потому, что подсознание пытается оправдать необходимость существования своего разума с навыками проектирования ПЛИС :)

 

А почему-бы не посмотреть на вопрос с другой стороны....

ПЛИС - это учебная платформа для освоения технологий цифрового дизайна.

Цифровой дизайн в мире ASIC совсем не ограничен гигагерцами и гигасемпелами...

В ASIC вы вполне можете найти задачи по сложности сравнимые с миганием светодиода (например многие "аналоговые" микросхемы имеют цифру построенную на простой FSM, для тримирования внутренних аналоговых блоков...)

И опятьтаки, ктото-же создаёт эти микроконтроллеры, и другие микросхемы - внутри всё это по большей части цифровой дизайн.

Такчто, если вы решили войти в мир ASIC - ПЛИС идеальная тренировочная база.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ПЛИС идеальная тренировочная база.

И не только тренировочная, а еще и вполне реальное средство отладки и тестирования решений для ASIC, часто гораздо более удобное, чем замыкание всего процесса на средства моделирования. Но вот это, как раз, к законченным изделиям отнести сложно, так как это внутренняя для предприятия часть цикла разхработки/производства. Это совершенно отдельная статья применения ПЛИС.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А причем тут ЭТО? Даже если не лезть в формальные дебри спецификаций PCI, ethernet, и т.п., в которых нет ничего про то, что одним из устройств на таких шинах обязан быть процессор, чтобы все это заработало, достаточно именно того, что вышеуказанные устройства являются законченными, так как продаются именно в таком виде, в каком продаются, без каких либо процессоров.

 

Также, напомню об устройствах защиты в различных силовых блоках, базирующихся на ПЛИС. Там, нередко, совсем нет процессоров, и даже близко, ибо нафиг не нужны, ПЛИС надежнее и реакция у нее быстрее.

 

Неа, контекст в нашем обсуждении совсем не таков.

 

TC явно противопоставил ПЛИС и микроконтроллеры. У него или-или.

Потому что у них разные технологии разработки и обе одному человеку тяжеловато поддерживать.

Поэтому разделом является можно ли законченный продукт (здесь конткретно некий аппнот) одному специалисту сделать на ПЛИС не занимаясь программированием процессоров.

 

Ответ - нельзя. Все посты здесь это только доказывают. JTAG адаптеры без драйверов не работают.

Обычно кто сделал хороший JTAG скорее всего сделает плохие драйвера либо потратит на это неразумное количество времени. :biggrin:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ответ - нельзя. Все посты здесь это только доказывают. JTAG адаптеры без драйверов не работают.

 

Причем тут драйверы и МК? Драйверы пишутся не для МК! А для законченного устройства в целом, будь оно на МК или на ПЛИС или на них вместе!

 

1) JTAG адаптер можно сделать на МК, без ПЛИС, но он не будет работать на 100 МГц внешней TCK (приходящей извне по отношению к адаптеру) по любому. А на внутренней, может и будет, но все равно, с доброй долей времени нахождения в IPAUSE/DPAUSE.

2) JTAG адаптер можно сделать на ПЛИС без МК, он будет работать на 100 МГц TCK, и без лишних пауз.

3) JTAG адаптер можно сделать на ПЛИС+МК. Он тоже будет работать на 100 МГц TCK, но, с каким-то временем в паузах из-за лишней прослойки между USB и JTAG. И не будет дешевле варианта 2. Но зато не надо писать/покупать USB корку для ПЛИС.

 

И все это, вышеперечисленное, при условии, что драйвер пишется одинаково для всех 3-х вариантов.

 

Итого - JTAG адаптер, работающий на 100 МГц, выгоднее всего сделать именно на ПЛИС без МК. Вот и сравнивая среди JTAG адаптеров, оказывается, что эффективнее всего его сделать на одной ПЛИС без МК. Очень конкретный пример противопоставления реализаций одного и того же устройства на МК, ПЛИС, или МК+ПЛИС.

 

 

 

Обычно кто сделал хороший JTAG скорее всего сделает плохие драйвера либо потратит на это неразумное количество времени. :biggrin:

В корне не согласен :) :) Так как невозможно сделать хорошую JTAG-железку, не зная всех подробностей и подноготной устройства драйверов, протоколов (всех уровней от низшего), и нюансов обмена как с ОС, так и с отлаживаемыми микросхемами. Как и нельзя сделать хороший драйвер, не имея возможности подправить железо под какие-то неожиданные нюансы, которые периодически возникают в процессе писания драйверов. В общем, когда говорим драйвер - подразумеваем железку. Когда говорим железка - подразумеваем драйвер. Они - единое целое и неразрывное, и лучше, чем одному человеку, эту связку сделать никак нельзя. Личный опыт, который пока еще ни разу не был опровергнут (Возможно, существуют такие два разработчика, которые друг друга понимают с полуслова и работают также эффективно, как и один, но это чисто математическое допущение, в природе не встречающееся) ... Я никогда не берусь ни за какие железки, если драйвер пишу не я, и наоборот, так как знаю, что фигня получится. Но это, так сказать, совсем мимо цели. Оффтопик. Сорри.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте, нет не видел. Занятно, целый день вертелся вопрос, но хотел сам до него додуматься. Сейчас открыл Ваш курс, полистал, случайно остановился на одной странице и увидел ответ. Бывает же такое :biggrin:

 

С самим Verilog`ом проблем не возникает, мне он не показался сложным. Хотя пока я рожаю не оптимальные решения, но они работают, со временем буду совершенствоваться.

 

Пока день прошел, освоил SPI :smile3046:

Еще я провожу персональные занятия по работе с ПЛИС. Захотите - пишите или по скайпу...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Неа, контекст в нашем обсуждении совсем не таков.

 

TC явно противопоставил ПЛИС и микроконтроллеры. У него или-или.

Потому что у них разные технологии разработки и обе одному человеку тяжеловато поддерживать.

Поэтому разделом является можно ли законченный продукт (здесь конткретно некий аппнот) одному специалисту сделать на ПЛИС не занимаясь программированием процессоров.

 

Ответ - нельзя. Все посты здесь это только доказывают. JTAG адаптеры без драйверов не работают.

Обычно кто сделал хороший JTAG скорее всего сделает плохие драйвера либо потратит на это неразумное количество времени. :biggrin:

Предыстория всей этой ситуации есть. Давеча я халтурил в одном НИИ, занимался проектированием и по мелочи делал реверс их же устройств на мк, ибо у них были свои терки в коллективе. Им срочно нужен был человек на постоянку, но львиная доля работы была в продумывании конструктивов, проведение испытаний, согласованиях и бумажках. Из вкусного только разводка плат и составление схем. Работы как таковой по программированию достаточно мало. Я решил что это не для меня, на последок товарищ начальник выдавил такую фразу: "Если ты хочешь заниматься программированием микроконтроллеров, то ты родился не в той стране. Вот если бы ты знал ПЛИС, то тебе были бы открыты все дороги". В тот момент, мне было нечего сказать, я ничего не знал о ПЛИС, поэтому поставил себе цель как минимум освоиться. Сегодняшним умом я понимаю, что все штуки, которые там были реализованы на ПЛИС, с легкостью делаются на мк. Эта тема только подтвердила мои сомнения.

 

Есть и другая сторона медали, так уж вышло, что мое образование далеко от электроники. Текущая моя профессия ни то ни се, зп ниже того, что предлагают студентам электронщикам, а если дадут пинка под зад, ничего похожего больше не найти. Единственный плюс - есть время и приборы. Поэтому изучаю электронику серьезно и агрессивно :biggrin: Конечная цель - найти нормальную работу. К сожалению сейчас доказать, что не верблюд не могу. Если пойти допустим проектировщиком, с надеждой перейти разработчиком/программистом, то если ты выполняешь свою работу, то ты нужен там где нужен, никто тебя из проектантов не переведет в программисты, пройдено. Варианты пойти на маленькую зарплату тоже не прокатывают. Обычно в таких фирмах проекты делаются по нескольку лет, да и завершенный проект для таких фирм не показатель - директор пришел, посчитал прибыль, пожал руку, сказал спасибо, начальники отдела под столом поделили спасибо, а работник должен радоваться тому, что его еще не выгнали :) Через это уже проходили, знаем. Поэтому на текущий момент, все что я могу, это клепать проекты дома, либо для себя, либо для кого то другого, выкладывать на всеобщее обозрение с мыслью что меня заметят.

 

На самом деле, мне все равно будут ли это устройства на ПЛИС, мк или чем то другом или все вместе. Просто мне не хочется повторять те проекты, которые я уже делал на мк, поэтому цель темы есть ли альтернатива? Но похоже что нет, раз другого варианта пока нет, значит пусть так и будет, буду повторять пройденное. Буду лучше разбираться в мелочах.

 

Еще я провожу персональные занятия по работе с ПЛИС. Захотите - пишите или по скайпу...

Спасибо Вам большое, но у меня у самого есть опыт преподавания, из него я вывел правило, которое действует на 99% - если человек хочет, он сам научится, а вдалбиливать бесполезно. Поэтому все преподавания по скайпу и личные встречи это пустая трата времени и сил. В 1% бывают случаи, когда это нужно, например когда 5 лет провел в институте, ничему не научился и тут срочно нужно к диплому хоть на тройку самому что то рассказать. Прошу прощения, если у Вас с обучающимися это не так. От общения, конечно я бы не отказался, бывают случаи когда нужно порассуждать в слух, послушать чужое мнение, обсудить целесообразность решений. Но думаю Вы не это имеете ввиду :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прошу прощения, если у Вас с обучающимися это не так. От общения, конечно я бы не отказался, бывают случаи когда нужно порассуждать в слух, послушать чужое мнение, обсудить целесообразность решений. Но думаю Вы не это имеете ввиду :)

Да я же не "академик"... И мои "уроки" - это чистая практика.

Ну а дальше - дело Ваше. А захотите поговорить - то по скайпу...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробую вставить свои пять копеек - рассказать разницу с другой стороны.

Я в настоящий момент являюсь системным интегратором и проект менеджером. И уже несколько раз сталкивался со спецами, которые с процессоров переходили на ПЛИСы, и с ПЛИСов на процессоры. В итоге первыми фразами после первых трех месяцев работы над новой темой у них обычно были: "Да я б зафигачил это дело на TMS320 в три раза быстрее, чем на плисинах", "Да я б на дешевом спартане сделал бы в 10 раз меньше" и т.д. Надеюсь понятно от какой категории специалистов это звучало?

 

Так получилось что наш круг задач - управление силовой электроникой с применением ЦОС, практически одинаково хорошо решается и на ПЛИС и на DSP. И поэтому может дойти до холивара.

 

Но мне, как проект менеджеру, в принципе не важно на каком железе оно будет реализовано. Мне важны конечная стоимость и качество продукта, стоимость и сроки разработки, легкость поддержки.

 

В итоге если рассматривать ПЛИС и процессоры с этой стороны, то для более менее простых ЦОС задач лично для меня легко прослеживается граница:

- в первую очередь стоимость железа - ПЛИС намного дороже сопоставимых DSP, если брать все со всей обвязкой.

- с другой стороны чтобы решить одну и ту же задачу на ПЛИС, нужно обычно намного меньше человекочасов и сопровождать это дело намного легче. Также простая миграция на следующие чипы.

 

Т.е. вывод простой - если разработка сложная, а количество серийных изделий невелико и стоимость конечного железа не так важна - то надо делать на ПЛИС.

А если будет серия и важна конечная стоимость изделия - то однозначно дешевый DSP и посадить команду программистов для разработки и отлизывания софта.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

то однозначно дешевый DSP и посадить команду программистов для разработки и отлизывания софта.

А Вы хотите сказать, что на ПЛИС проще отладить ЦОС-алгоритмы? И "команда программистов" там не нужна? Моя практика, например, говорит об обратном. Что, обычно, после матмодели, алгоритм отлаживается на каком нибудь языке программирования, на DSP на ките, или, вообще, на PC, так как это просто и быстро с учетом отменно развитой внутрисхемной отладки и последовательности исполнения операций, а уже потом реализуется на ПЛИС, если этого требует проект (не хватает быстродействия у DSP, либо надежности, либо на ПЛИС выходит дешевле (такое тоже бывает), ну и т.п. причины).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А Вы хотите сказать, что на ПЛИС проще отладить ЦОС-алгоритмы? И "команда программистов" там не нужна?

Ну, наверное, да. Когда нет цели впихнуть побольше в поменьше, то параллелизируемость процессов на ПЛИС и оперирование сигналами вместо переменных дает явный выигрыш в переводе алгоритма в софт, так как структура остается та же. Опять же я говорю про простые ЦОС вещи - фильтрацию, косинусы, синусы, тригонометрические преобразования, FFT.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

то параллелизируемость процессов на ПЛИС и оперирование сигналами вместо переменных дает явный выигрыш в переводе алгоритма в софт,

Не, ну я согласен, что на верилоге оно может и быстрее опишется, чем на С для процессора. Хотя, скорее, одинаково. А отладка-то? Ввод-вывод тест-векторов, сравнение их с полученными на модели, поиск ошибок, почему тут бит не совпал, почему тут переполнение переполнилось, и т.п....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Darti

Дык, дело в том, что львиная доля работы инженера это и заключается в продумывании конструктивов, проведение испытаний, согласованиях и бумажках!

А разводка плат и составление схем - это приятные, скрашивающие серые будни, "плюшки".

Странный у Вас начальник: обычно именно ПЛИСники - это так, обслуживающий персонал для программистов (и микроконтроллеров в том числе).

Потому что разработка на ПЛИС, как правило, дорогая и долгая, а, значит, и мало каким фирмам по силам. Поэтому и рынок труда узкий. А программисты могут хоть сайты "лабать", хоть 1С настраивать, хоть драйверы писать. Могут уйти, если что не так. Поэтому их берегут, а ПЛИСунов можно и "нагнуть" - всё равно тяжело куда-нибудь ещё "рыпнуться".

Если Вы сами пришли к выводу, что все штуки, которые там были реализованы на ПЛИС, с легкостью делаются на мк, то значит, что руководство, мягко говоря, некомпетентно, денег не считает и в результате мало заинтересовано. Этим надо пользоваться: изучайте в своё удовольствие программирование, реализуйте алгоритмы ЦОС хоть на ПЛИС, хоть на ПЦОС. Получите достаточный опыт - перейдёте в программисты. Кстати, программисты со знанием схемотехники очень ценятся: они ПОНИМАЮТ как работает система.

syoma

Так же являясь менеджером проектов, ни разу не встречал, чтобы одну и ту же задачу на ПЛИС, нужно обычно намного меньше человекочасов и сопровождать это дело намного легче. Используем ПЛИС когда уж без неё никак. Лучше, быстрее и дешевле на подходящем СнК. Речь о ЦОС (корреляторы, декодеры и прочие модемы).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

хоть драйверы писать.

Да нуу.... Они, в подавляющем большинстве, их как огня боятся, как и ядра ОС в целом.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не пройти мимо :) откройте любой осцилл, даже самый дешевый и самый китайский - и вы увидите, что весь сбор данных с АЦП, их накопление и фильтрация - все на ПЛИС. И там еще есть МК - но только для ЧМИ и подключения к ПК.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Гость
Эта тема закрыта для публикации ответов.
×
×
  • Создать...